(a) (b) (c) Phase1. Phase2. Assignm ent offfs to scan-paths. Phase3. Determination of. connection-order offfs. Phase4. Im provem entby exchanging FFs

Similar documents
8ns. 8ns. 16ns. 10ns COUT S3 COUT S3 A3 B3 A2 B2 A1 B1 B0 2 B0 CIN CIN COUT S3 A3 B3 A2 B2 A1 B1 A0 B0 CIN S0 S1 S2 S3 COUT CIN 2 A0 B0 A2 _ A1 B1

State Space Reduction for the SYMMETRIC Traveling Salesman Problem through Halves Tours Complement

State Space Reduction for the Symmetric Traveling Salesman Problem through Halves Tour Complement

An ATM Network Planning Model. A. Farago, V.T. Hai, T. Cinkler, Z. Fekete, A. Arato. Dept. of Telecommunications and Telematics

Partitioning. Hidenori Sato Akira Onozawa Hiroaki Matsuda. BTM. Bakoglu et al. [2] proposed an H-tree structure.

Abstract. In this work we present two heuristics for the owshop machine scheduling problem with

to the Traveling Salesman Problem 1 Susanne Timsj Applied Optimization and Modeling Group (TOM) Department of Mathematics and Physics

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d

Improvement heuristics for the Sparse Travelling Salesman Problem

Rearrangement of DNA fragments: a branch-and-cut algorithm Abstract. In this paper we consider a problem that arises in the process of reconstruction

Comparison Study of Multiple Traveling Salesmen Problem using Genetic Algorithm

Two new variants of Christofides heuristic for the Static TSP and a computational study of a nearest neighbor approach for the Dynamic TSP

LECTURE 20: SWARM INTELLIGENCE 6 / ANT COLONY OPTIMIZATION 2

Ecient Implementation of Sorting Algorithms on Asynchronous Distributed-Memory Machines

Modeling the Component Pickup and Placement Sequencing Problem with Nozzle Assignment in a Chip Mounting Machine

Ecient Processor Allocation for 3D Tori. Wenjian Qiao and Lionel M. Ni. Department of Computer Science. Michigan State University

Lower Bounds for Insertion Methods for TSP. Yossi Azar. Abstract. optimal tour. The lower bound holds even in the Euclidean Plane.

Ecient Implementation of Sorting Algorithms on Asynchronous Distributed-Memory Machines

The Heuristic Strategy Implementation to the Hopfield -Tank TSP Neural Algorithm

Adapting the Genetic Algorithm to the Travelling Saleman Problem

Approximation Algorithms

The Minimum Spanning Tree Problem on a Planar Graph. Tomomi MATSUI. (February 1994 ) Department of Mathematical Engineering and Information Physics

What Is An Algorithm? Algorithms are the ideas behind computer programs. An algorithm is the thing which stays the same whether

Egemen Tanin, Tahsin M. Kurc, Cevdet Aykanat, Bulent Ozguc. Abstract. Direct Volume Rendering (DVR) is a powerful technique for

X(1) X. X(k) DFF PI1 FF PI2 PI3 PI1 FF PI2 PI3

Traveling Salesman Problem. Algorithms and Networks 2014/2015 Hans L. Bodlaender Johan M. M. van Rooij

A tabu search based memetic algorithm for the max-mean dispersion problem

The Traveling Salesman Problem: State of the Art

Amanur Rahman Saiyed (Indiana State University) THE TRAVELING SALESMAN PROBLEM November 22, / 21

Effective Local and Guided Variable Neighbourhood Search Methods for the Asymmetric Travelling Salesman Problem

A COMPARATIVE STUDY OF FIVE PARALLEL GENETIC ALGORITHMS USING THE TRAVELING SALESMAN PROBLEM

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Telecommunication and Informatics University of North Carolina, Technical University of Gdansk Charlotte, NC 28223, USA

Localization in Graphs. Richardson, TX Azriel Rosenfeld. Center for Automation Research. College Park, MD

THE IMPLEMENTATION OF A DISTRIBUTED FILE SYSTEM SUPPORTING THE PARALLEL WORLD MODEL. Jun Sun, Yasushi Shinjo and Kozo Itano

Travelling Salesman Problem. Algorithms and Networks 2015/2016 Hans L. Bodlaender Johan M. M. van Rooij

Optimal tour along pubs in the UK

Improved Large-Step Markov Chain Variants for the Symmetric TSP

CAD with use of Designers' Intention. Osaka University. Suita, Osaka , Japan. Abstract

Fuzzy Inspired Hybrid Genetic Approach to Optimize Travelling Salesman Problem

Place and Route for FPGAs

Algorithms and Experimental Study for the Traveling Salesman Problem of Second Order. Gerold Jäger

Scalability of a parallel implementation of ant colony optimization

Process Allocation for Load Distribution in Fault-Tolerant. Jong Kim*, Heejo Lee*, and Sunggu Lee** *Dept. of Computer Science and Engineering

Vladimir Dimitrijevic, Milan Milosavljevic, Milan Markovic. as: nd a minimum cost cycle which includes exactly one vertex from each

Overview. H. R. Alvarez A., Ph. D.

A Memetic Algorithm for the Generalized Traveling Salesman Problem

Classifier C-Net. 2D Projected Images of 3D Objects. 2D Projected Images of 3D Objects. Model I. Model II

Effective Local Search Algorithms for the Vehicle Routing Problem with General Time Window Constraints

Adaptive Tabu Search for Traveling Salesman Problems

A Linear Time Algorithm for the Minimum Spanning Tree Problem. on a Planar Graph. Tomomi MATSUI. (January 1994 )

Minoru SASAKI and Kenji KITA. Department of Information Science & Intelligent Systems. Faculty of Engineering, Tokushima University

PARALLEL COMPUTATION OF THE SINGULAR VALUE DECOMPOSITION ON TREE ARCHITECTURES

An Algorithm for Solving the Traveling Salesman Problem

A Polynomial-Time Deterministic Approach to the Traveling Salesperson Problem

Automating Reconfiguration Chain Generation for SRL-Based Run-Time Reconfiguration

A Heuristic Method of Generating Diameter 3 Graphs for Order/Degree Problem

Rowena Cole and Luigi Barone. Department of Computer Science, The University of Western Australia, Western Australia, 6907

PARALLEL PERFORMANCE DIRECTED TECHNOLOGY MAPPING FOR FPGA. Laurent Lemarchand. Informatique. ea 2215, D pt. ubo University{ bp 809

[HaKa92] L. Hagen and A. B. Kahng, A new approach to eective circuit clustering, Proc. IEEE

Job Grouping with Minimum Setup in PCB assembly

Research Article A Novel Metaheuristic for Travelling Salesman Problem

JOURNAL OF OBJECT TECHNOLOGY

2ND INTERNATIONAL CONFERENCE ON METAHEURISTICS - MIC97 1. Graduate School of Engineering, Kyoto University

Cluster quality 15. Running time 0.7. Distance between estimated and true means Running time [s]

Approximate Shortest Path Algorithms for Sequences of Pairwise Disjoint Simple Polygons

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles

Midterm 1 : Correction. Friday, Feb. 23.

Three-Dimensional Cylindrical Model for Single-Row Dynamic Routing

Implementations of Dijkstra's Algorithm. Based on Multi-Level Buckets. November Abstract

Dynamically Configured λ-opt Heuristics for Bus Scheduling

ARTIFICIAL INTELLIGENCE (CSCU9YE ) LECTURE 5: EVOLUTIONARY ALGORITHMS

A B. A: sigmoid B: EBA (x0=0.03) C: EBA (x0=0.05) U

A Hybrid Genetic Algorithm for the Hexagonal Tortoise Problem

Parallel Genetic Algorithm to Solve Traveling Salesman Problem on MapReduce Framework using Hadoop Cluster

A Recursive Coalescing Method for Bisecting Graphs

Solving ISP Problem by Using Genetic Algorithm

Comparative study between the proposed shape independent clustering method and the conventional methods (K-means and the other)

SOLVING TRAVELING SALESMAN PROBLEMS USING HEURISTIC LEARNING APPROACH

A New Orthogonal Multiprocessor and its Application to Image. Processing. L. A. Sousa M. S. Piedade DEEC IST/INESC. R.

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks


Transistors NODES. Time (sec) No. of Nodes/Transistors

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp

International Conference on Parallel Processing (ICPP) 1994

A Late Acceptance Hill-Climbing algorithm the winner of the International Optimisation Competition

Eulerian disjoint paths problem in grid graphs is NP-complete

Partitioning. Course contents: Readings. Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic. Chapter 7.5.

Improved K-Means Algorithm for Capacitated Clustering Problem

Final Exam. If you plan to solve a problem using a standard graph algorithm then you should clearly

Solving Travelling Salesmen Problem using Ant Colony Optimization Algorithm

An Ecient Approximation Algorithm for the. File Redistribution Scheduling Problem in. Fully Connected Networks. Abstract

Optimizing the Sailing Route for Fixed Groundfish Survey Stations

ACO and other (meta)heuristics for CO

Complete Local Search with Memory

1 Introduction Data format converters (DFCs) are used to permute the data from one format to another in signal processing and image processing applica

Improving Performance of Sparse Matrix-Vector Multiplication

Restricted Delivery Problems on a Network. December 17, Abstract

Depth-First Branch-and-Bound versus Local Search: A Case Study

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load

Transcription:

Scan-chain Optimization lgorithms for Multiple Scan-paths Susumu Kobayashi Masato Edahiro Mikio Kubo C&C Media Research Laboratories NEC Corporation Kawasaki, Japan Logistics and Information Engineering Tokyo University of Mercantile Marine Tokyo, Japan bstract This paper presents an algorithm framework for the scan-chain optimization problem in multiple-scan design methodology. It also presents algorithms we propose based on the framework; these are the rst algorithms ever proposed for multiplescan designing. Experiments using actual design data show that, for ten scan-paths, our algorithms achieved a 90% reduction in scan-test time at the expense of a 7% total scan-path length increase as compared with the length of a single optimized scan-path. I. Introduction s circuits become larger and higher in density, the problem of increased scan-test time becomes crucial when scan design methodology [1] is employed. Multiple-scan design methodology, in which multiple scan-paths exist, is an eective method to reduce scan-test time because it reduces the number of ip-ops included in a scan-path. lthough scan design methodology is widely used in the design for testability, this method often causes a wirability problem because long routing wires connecting scan ip- ops (FF) spread over the entire layout area. Since the connection-order of FFs in a scan-path does not change circuit functionality, it is possible to decrease the scanpath's wire length by optimizing the connection-order after the placement process. This optimization is called scan-chain optimization. Previously a scan-chain optimization method for the purpose of minimizing routing area overhead was proposed in [2]. In this method, selecting and chaining of partial scan ip-ops are performed simultaneously by a matching-based algorithm. It is assumed that multiple-scan design methodology will be widely used in the future to reduce scan-test time. In this methodology, there are multiple scan-in pins (SIP) and scan-out pins (SOP), and thus multiple scan-paths are constructed. The multi-scan-chain optimization problem constructs multiple scan-paths so as to minimize the total scan-path length. This problem essentially contains three types of optimization: 1) constructing pairs of SIPs and SOPs (each pair is associated with a scan-path), 2) assigning FFs to scan-paths under the condition that the number of FFs should be balanced among scan-paths, and 3) deciding connection-order of FFs in each scan-path. There is an intuitive method of constructing multiple scan-paths in which, rst, an optimized single scan-path is constructed, the scan-path is evenly divided, and then each path is connected to an SIP and an SOP. However, this method might produce long extra wires connected to the SIPs or SOPs, because the optimized single scan-path is divided regardless of the locations of SIPs and SOPs. Thus, in order to minimize the extra wire length generated for multiple scan-paths, we must optimize three terms SIP-SOP matching, FF assignment, and FF ordering so as to minimize the total scan-path length. These three terms greatly depend on each other, and it is extremely dicult to optimize these terms appropriately. s far as we know, the multi-scan-chain optimization problem has not been studied yet. For this problem, we propose an algorithm framework that consists of four phases: 1) SIP-SOP matching, 2) FF assignment, 3) FF ordering, 4) FF exchanging among scan-paths for improvement. We also propose one or two algorithms for each phase. In our experiments, the proposed algorithms reduced scan-test time by approximately 90%, and the resultant total scan-path length was only 7% longer than the single optimized scan-path length. II. Multi-Scan-Chain Optimization Problem The multi-scan-chain optimization problem is dened as follows: Given locations of k scan-in pins (SIP), k scan-out pins (SOP), and n FFs, assign SIPs, SOPs, and FFs to k scanpaths and decide connection-order in each scan-path so that total wire length of all the scan-paths is minimized (Fig.1). Each scan-path must satisfy the scan-path conditions described below. Scan-path conditions: scan-path must include only one SIP and only one SOP. scan-path must begin with the SIP, pass once through all FFs in the path, and end with the SOP.

FF scan-path Determination of SIP-SOP pairs ssignm ent offfs to scan-paths Phase1 Phase2 Phase3 Determination of connection-order offfs Im provem entby exchanging FFs Phase4,, :scan-in pins,, : scan-outpins Fig. 2. lgorithm framework Fig. 1. Multi-scan-chain optimization problem scan-in pins scan-outpins Each FF is assigned to one scan-path. The dierence in the number of FFs among scanpaths should be at most one. (We refer to this fourth condition as the balancing condition from here on.) Note that the balancing condition is established to reduce scan-test time as much as possible, as scan-test time usually depends on the maximum number of FFs in a scan-path. III. lgorithms Our multi-scan-chain optimization method includes assignment of SIPs and SOPs to scan-paths (pairing of SIPs and SOPs), assignment of FFs to the scan-paths, and optimization of connection-order of FFs in each scan-path. We propose a four-phase algorithm framework (Fig.2) for the multi-scan-chain optimization problem as follows: Phase 1: Determine SIP-SOP pairs. (Each pair is assigned to a scan-path without duplication.) Phase 2: ssign FFs to scan-paths. Phase 3: Determine connection-order of FFs in each scan-path. Phase 4: Reduce the total scan-path length by exchanging FFs among scan-paths. For each phase we propose one or two methods, which are described in the following sections.. Phase 1: Determination of SIP-SOP pairs If SIP-SOP pairs are not specied, it is necessary to determine them appropriately. We propose a method of determining SIP-SOP pairs which minimizes the maximum distance between SIP and SOP. si4 nearestpair so4 si4 (a) (b) (c) so4 second nearest pair Fig. 3. Proposed method for Phase 1 When FFs are not assigned to scan-paths, it is hard to determine SIP-SOP pairs appropriately so that total scanpath length is minimized. Intuitively, however, long SIP- SOP distance mighthave a bad inuence on the scan-path length. We therefore intend to minimize the maximum SIP-SOP distance. The proposed method (for Phase 1) shown in Fig.3 is described as follows: First, a list 3 of all the pairs of SIP and SOP is constructed, and 3 is sorted in the increasing order of SIP- SOP distance. Next, the rst pair in 3 is added to a list 2 (Fig.3(a)), which is initially empty, and the pair is deleted from 3. This operation is executed one by one according to the order in 3 (Fig.3(b)). fter each operation, if the pairs in 2 have a complete SIP-SOP matching, the algorithm terminates (Fig.3(c)). Clearly this method gives the optimal solution in terms of minimizing the maximum SIP-SOP distance.. Phase 2: ssignment of FFs to scan-paths In order to minimize scan-path length, it is desirable that FFs included in the same scan-path should be placed within a small area. For this purpose, we propose a method for Phase 2 which formulates the problem into a minimum cost ow problem and employs a conventional minimum cost ow algorithm [5]. We call the method the Minimum-Cost-Flow-based Method (MCFM). In this method, we dene distance d p (i; j) between an si4 so4

FF i 1 d (i,j) p 1 SIP( j ) scan-path j SOP ( j) d p(i,j) 2 source E 1 F E 2 P E 3 sink FF i2 Fig. 4. Distance between FF and scan-path FF i and a scan-path j as the minimum Manhattan distance between i and any point on the line segment from SIP to SOP of j (Fig.4), where the location of an FF is dened as the center of the FF's input and output pins. In the following we explain MCFM using Fig.5. MCFM rst calculates the distance d p for all pairs of FF and scan-path. Next MCFM constructs a graph G f, which is described as follows (Fig.5): Let F be a set of all FFs and P be a set of all scanpaths. G f is a graph where each component of F and P is represented as a vertex, in addition to the F and P vertices, there are one source vertex and one sink vertex, and there are directed edges from the source vertex to all vertices in F, from each vertex in F to all vertices in P, and from each vertex in P to the sink vertex. c s =0 f=0 l f=1 u Fig. 5. Graph G f used in MCFM c s =d f=0 f= l u p c s:cost f l:lowerlimitofflow f u:upper limitofflow c s =0 f=n l f=n MCFM then nds the minimum cost ow of N f on G f. To solve the minimum cost ow problem with positive upper and lower limits, we use Goldberg's algorithm [5]. fter solving the minimum cost ow problem, MCFM assigns each FF i to the scan-path j if and only if the edge (v i ;v j ) has ow of one, where v i and v j are the corresponding vertex of i and j respectively. It is easy to see that the minimum cost ow gives the minimized total sum of d p. (Note that d p represents the suitability of assigning an FF to a scan-path, as described above.) s a result, MCFM gives the best solution from the point of view of d p, although it does not necessarily ensure the minimum total scan-path length. u l u Let E1;E2;E3 be a set of all edges from the source vertex to vertices in F, from vertices in F to vertices in P, and from vertices in P to the sink vertex, respectively. Then, MCFM species cost c s and upper and lower limits of ow f u ;f l as follows: c s =0;f u =1;f l =0;for all edges in E1, c s = d p (for corresponding FF and scan-path), f u = 1;f l =0;for all edges in E2, c s =0;f u =n u ;f l =n l ; for all edges in E3, where n l = bn f =N p c;n f is the number of FFs, N p is the number of scan-paths, and n u = dn f =N p e. (This means that the dierence between f u and f l is at most one.) Note that, for all edges e in E2, cost c s is specied as d p (i; j), where i is the FF whose corresponding vertex is the starting vertex of e and j is the scan-path whose corresponding vertex is the ending vertex of e, and n l (= bn f =N p c) and n u (= dn f =N p e) are the minimum and maximum numbers of FFs that can be included in a scan-path under the balancing condition, respectively. C. Phase 3: Determination of connection-order of FFs in each scan-path The problem of determining the connection-order of FFs is formulated as a Traveling Salesman Problem (TSP). The TSP can be classied into two types of problems: Symmetric TSP (STSP) and symmetric TSP (TSP). n STSP is a TSP in which the length (cost) of an edge is independent of its direction, i.e., distance from node to node is always equal to that from node to node. In an TSP, the length (cost) of an edge depends on its direction, i.e., distance from node to node is not always equal to that from node to node. The problem of determining the connection-order of FFs can be formulated as either an STSP or an TSP, depending on the way the wire length between two FFs is estimated. If we dene the location of an FF as the center of the FF's input and output pins and estimate the wire length by the Manhattan distance between the locations of FFs (Fig.6(a)), distance between two FFs is independent of signal direction, and therefore the problem is formulated as an STSP.(We refer to this estimation

FF scan-in pin IN OU T IN OU T FF IN OU T IN OU T (a)symm etric form ula (b)asymm etric formula ( ) ( ) Symm etric TSP symm etric TSP Fig. 6. Distance between two FFs scan-out pin Greedy Method 3-optMethod symm etric 3-optMethod Fig. 7. Flow of the proposed method for Phase 3 formula as the symmetric formula hereafter.) On the other hand, if we consider the locations of FF pins and estimate the wire length by the Manhattan distance from the output pin of one FF to the input pin of another FF (Fig.6(b)), distance between two FFs would depend on signal direction. In this case, the problem should be formulated as an TSP. (We refer to this estimation formula as the asymmetric formula from here on.) dopting STSP heuristics to determine the FF connection-order has the advantage of fast operation, while adopting TSP heuristics has the merit of accurate estimation of wire length. To make the most of both methods we propose a method for Phase 3 which combines STSP heuristics with an TSP heuristic. In this method, the problem is rst formulated as an STSP, and an initial connection-order is constructed using one of the methods for solving STSPs called the Greedy Method [6]. Then, the method improves the initial connection-order through use of the 3-opt Method [7], which is a fast and eective improvement heuristic for STSPs. Finally, the problem is formulated as an TSP in order to estimate distance between FFs more precisely, and an algorithm called the symmetric 3-opt Method, which we propose as a variant of the 3-opt Method, further improves the connection-order. Fig.7 shows the ow of our method for Phase 3. The Greedy Method [6] is a constructive method which is used to construct an initial solution in the proposed method for Phase 3. It nds the nearest pair of FFs and creates an edge between them (Fig.8), unless the edge makes an unacceptable solution (e.g. three edges from one FF). Greedy Method iterates these operations until a scan-path is completed. The 3-opt Method [7] removes three edges from the current solution and then generates three edges so that the re- Fig. 8. Greedy Method Fig. 9. 3-opt Method sulting solution satises the scan-path conditions (Fig.9). The 3-opt Method iterates this improvement until no further improvement is obtained. Generally this method eciently achieves a near-optimal solution. Note that in the Greedy Method and 3-opt Method, the distance between two FFs is measured by the symmetric formula. The symmetric 3-opt Method improves the solution by the same operation as that of the 3-opt Method, except that the distance between two FFs is measured by the asymmetric formula and that none of the edges may change their directions in the updating (Fig.10). D. Phase 4: Inter-path exchange of FFs This phase improves scan-path length by exchanging FFs among scan-paths. We propose two methods for Phase 4. The rst method, which we call the Exchange- Permutation Method (EPM), iterates by exchanging FFs and applying the symmetric 3-opt Method, and the other is based on Tabu Search [3][4].

scan-path 1 scan-path 2 The second method for Phase 4 is based on the conventional Tabu Search algorithm [3][4]; we call it the Tabu scan-path 1 scan-path 2 Fig. 10. symmetric 3-opt Method scan-path 1 scan-path 2 scan-path 1 scan-path 2 Fig. 12. Exchange in TSM Fig. 11. Exchange phase of EPM D.1 Exchange-Permutation Method This method rst iterates exchanges of any two FFs in dierent scan-paths until scan-path length cannot be improved any further by such exchanges. (We refer to this phase as the exchange phase below.) If the exchange phase does not exchange any FFs, EPM is terminated (Termination Condition 1). Otherwise, the method permutes the connection-order of FFs in each scan-path by using the symmetric 3-opt Method so as to improve the length of each scan-path. (We refer to this phase as the permutation phase below.) If the permutation phase does not change connection-order of any scan-path at all, EPM is terminated (Termination Condition 2). Otherwise, after the permutation phase, the exchange phase is executed again. Iteration of these two phases, exchange and permutation phases, continues until one of the above Termination Conditions is satised. The exchange phase shown in Fig.11 can be described as follows: The exchange phase repeatedly exchanges pairs of FFs included in dierent scan-paths, which improves the solution. n exchanged FF is inserted into the destination scan-path at the position where the increase in the scanpath length caused by the insertion is minimized (Fig.11). This exchange is iterated until no further improvement can be obtained. D.2 Tabu-Search-based Method Search-based Method (TSM). TSM iterates by exchanging two selected FFs a specied number of times, and selects the best solution during the iterations. TSM rst holds all scan-paths as the current-scan-path set S, and also as the best-scan-path set S 3. Next it nds a pair of FFs in S which gives the maximum gain and exchanges the pair, where gain is dened as a decrease in the total scan-path length by the exchange. Note that even if the best gain is less than 0, (which means the scanpath length increases), the exchange is executed anyway. On the occasion of exchange, one FF of the selected pair is inserted into the destination scan-path at the position where the other FF is removed (Fig.12). fter an FF is removed from a scan-path, the FF is prohibited from returning to the scan-path which included the FF during iterations of the user-specied number n tl of times. fter an exchange, the best solution S 3 is replaced by the current solution S if the total scan-path length of S is shorter than that of S 3. TSM iterates such exchanges until the number of iterations reaches the user-specied number n max, and after every n pc (which is also specied by the user) iterations, it optimizes the connection-order of FFs in each scan-path by the symmetric 3-opt Method. The best solution found during the iterations is always preserved in S 3. When the number of iterations reaches n max, TSM is terminated and the best solution S 3 is selected as the resultant solution. IV. Experimental Results To evaluate our algorithms, we implemented them on a 179MIPS UNIX machine in C language. In evaluating the algorithms, we use actual design data with a single scanpath, to which are added nine SIPs and nine SOPs. (Thus giving the data ten scan-paths, and accordingly scan-test time is reduced by approximately 90%.) The locations of the added SIPs and SOPs on the layout plane are determined randomly. Note that, in actual designs which employ multiple-scan design methodology, the numbers

and locations of SIPs and SOPs are specied by designers, and our algorithm accepts any numbers and locations of SIPs and SOPs. Table I shows details of the data used in the experiments. In this table, #FF is the number of FFs, and Initial SPL is the single scan-path length [mm] before scan-chain optimization. The scan-path length is equal to the total wire length on the scan-path estimated by the asymmetric formula (described in section 3.3). Single SPL in Table I means the single scan-path length [mm] after optimizing the connection-order of the initial scanpath (by the proposed method for Phase 3). s we presented two methods in Phase 4, two algorithms and are implemented and evaluated. These algorithms use the same methods from Phase 1 through Phase 3, and lgorithm employs EPM in Phase 4, while lgorithm employs TSM in Phase 4. When using TSM, we specied n tl = 10, n pc = 10, n max = 1000. TLE I Data used in the experiment data #FF Initial SPL[mm] Single SPL[mm] MSC1 7437 1685.1 881.9 MSC2 8128 1806.9 888.7 MSC3 9053 2185.6 1009.6 V. Conclusions In this paper, we have proposed a four-phase algorithm framework for the scan-chain optimization problem in multiple-scan design methodology. The proposed method rst determines pairs of scan-in and scan-out pins and each pair is associated with a scan-path (Phase 1). Then, ip-ops are assigned to the scan-paths evenly (Phase 2), and connection-order of ip-ops in each scan-path is optimized (Phase 3). Finally improvement by exchanging ip-ops among scan-paths is executed (Phase 4). We also proposed algorithms which were implemented on a UNIX machine and evaluated by experiments using actual design data which included more than 7,000 ip- ops. In the experiments, scan-test time was reduced by approximately 90% and the total scan-path length was 44-52% (47% on the average) shorter than the initial scanpath length and only 5-8% (7% on the average) longer than the single optimized scan-path length. s possible future improvement, adopting a more powerful TSP heuristic (e.g. Lin and Kernighan's algorithm [7]) in Phase 3 might further improve the scanpath length, although the computing time would increase. lso, determining both the pairs of scan-in and scan-out pins and the assignment of ip-ops to scan-paths simultaneously, i.e., merging Phases 1 and 2 of the proposed algorithm framework could be an eective approach to obtaining better solutions. References TLE II Experimental results lgorithm lgorithm TSPL Time TSPL Time data [mm] [sec] [mm] [sec] MSC1 942.1 62 943.1 133 MSC2 960.0 75 961.0 152 MSC3 1059.5 89 1062.2 171 Table II shows the experimental results. In this table, TSPL means total scan-path length [mm] and Time means computing time [sec]. In this experiment, the initial scan-path length is greatly reduced by 44-52% (47% on the average). Even if compared with single scan-chain optimization, the proposed algorithms result in only a 5-8% (7% on the average) increase in scan-path length in spite of the disadvantage of having many extra SIPs and SOPs. (Generally, the more scan-paths there are, the more severe the constraints of the problem become, which leads to an increase in the total scan-path length.) Comparing lgorithms and, lgorithm yields slightly better results and takes much less computing time. [1] V. D. grawal, K.-T. Cheng, D. D. Johnson, and T. Lin, \Designing Circuits with Partial Scan," IEEE Design & Test of Computer, pp.8-15, pril 1988. [2] C.-S. Chen, K.-H. Lin, T.T. Hwang, \Layout Driven Selecting and Chaining of Partial Scan Flip-Flops," Proc. of Design utomation Conference, pp.262-267, 1996. [3] F. Glover, \Tabu Search I," ORS Journal of Computing, 1:190-206, 1989. [4] F. Glover, \Tabu Search II," ORS Journal of Computing, 2:4-32, 1989. [5].V. Goldberg, \n Ecient Implementation of a Scaling Minimum-Cost Flow lgorithm," Technical Report STN-CS- 92-1439, Computer Science Department, Stanford University, Stanford, C, 1992. [6] D. S. Johnson, \Local Optimization and the Traveling Salesman Problem," Proc. 17-th Colloquium on utomata, Language, Programming, pp.446-461, Springer-Verlag, 1990. [7] E. L. Lawler, J. K. Lenstra,. H. G. Rinnoy Kan, and D.. Shmoys, editors, \The Traveling Salesman Problem," John Wiley and Sons, 1985.