Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006)

Similar documents
Xilinx ISE8.1 and Spartan-3 Tutorial EE3810

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board)

Programming Xilinx SPARTAN 3 Board (Simulation through Implementation)

Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu)

Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE.

XILINX ISE AND SPARTAN 3AN TUTORIAL

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

4. Verify that HDL is selected as the Top-Level Source Type, and click Next. The New Project Wizard Device Properties page appears.

Banks, Jasmine Elizabeth (2011) The Spartan 3E Tutorial 1 : Introduction to FPGA Programming, Version 1.0. [Tutorial Programme]

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial

Xilinx ISE Synthesis Tutorial

Introduction. About this tutorial. How to use this tutorial

Building Combinatorial Circuit Using Behavioral Modeling Lab

Xilinx Project Navigator Reference Guide

Xilinx Tutorial Basic Walk-through

NEXYS4DRR board tutorial

Lab 6: Intro to FPGAs

TLL5000 Electronic System Design Base Module

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

ECE 4305 Computer Architecture Lab #1

ELEC 4200 Lab#0 Tutorial

Using Synplify Pro, ISE and ModelSim

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

Circuit design with configurable devices (FPGA)

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16

VIVADO TUTORIAL- TIMING AND POWER ANALYSIS

Configuring the Xilinx Spartan-6 LX9 MicroBoard

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17

Project 1a: Hello World!

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i

ISE Design Suite Software Manuals and Help

ML605 PCIe x8 Gen1 Design Creation

The board is powered by the USB connection, so to turn it on or off you plug it in or unplug it, respectively.

XILINX WebPack -- testing with Nexys2 boards at USC (EE201L)

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD

Guide ISE14. ISE 14.2 (Xilinx) Designflow 1/12

Introduction to WebPACK 6.1. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017

Chip Design with FPGA Design Tools

MANUAL XILINX ISE PROJECT NAVIGATOR

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack andisim. ver. 1.0

Lab 1: Introduction to Verilog HDL and the Xilinx ISE

ML605 PCIe x8 Gen1 Design Creation

Using XILINX WebPACK Software to Create CPLD Designs

Using the ChipScope Pro for Testing HDL Designs on FPGAs

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim. ver. 2.0

2001 by X Engineering Software Systems Corp., Apex, North Carolina 27502

475 Electronics for physicists Introduction to FPGA programming

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.5

Tutorial: Working with the Xilinx tools 14.4

Revision: February 26, E Main Suite D Pullman, WA (509) Voice and Fax

Introduction to WebPACK 6.3. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

Introduction to WebPACK 5.2 for FPGAs. Using Xilinx WebPACK Software to Create FPGA Designs for the XSB-300E Board

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

Using Serial Flash on the Xilinx Spartan-3E Starter Board. Overview. Objectives. Version 8.1 February 23, 2006 Bryan H. Fletcher

Tutorial for Altera DE1 and Quartus II

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.3

1 Discussion. 2 Pre-Lab

Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

VHDL introduction Notes

Chapter 2 Getting Hands on Altera Quartus II Software

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation

Getting Started with Xilinx WebPack 13.1

Introduction to WebPACK 3.1. Using XILINX WebPACK Software to Create CPLD Designs

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1

Programmable Logic Design I

OVERVIEW OF FPGA AND EDA SOFTWARE

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent.

ChipScope Demo Instructions

Engineering 1630 Fall Simulating XC9572XL s on the ENGN1630 CPLD-II Board

SOPC LAB1. I. Introduction. II. Lab contents. 4-bit count up counter. Advanced VLSI Due Wednesday, 01/08/2003

Laboratory #Intro to Xilinx ISE and CR-2 Kit ECE 332

Introduction to WebPACK 8.1. Using Xilinx WebPACK Software to Create FPGA Designs for the XSA Board

Creating a base Zynq design with Vivado IPI

PlanAhead Release Notes

Spartan -6 LX150T Development Kit Hardware Co-Simulation Reference Design Tutorial

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader

Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board

CECS LAB 1 Introduction to Xilinx EDA Tools

Spartan -6 LX150T Development Kit Hardware Co-Simulation Reference Design User Guide

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

Parallel Cable III Emulator for the XSB-300E Board

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version

Quick Tutorial for Quartus II & ModelSim Altera

FPGA RGB Matrix. Created by lady ada. Last updated on :15:42 PM UTC

Tutorial on Quartus II Introduction Using Verilog Code

PALMiCE FPGA Probing Function User's Manual

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

ISE Tutorial: Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

CPLD Experiment 4. XOR and XNOR Gates with Applications

Transcription:

Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006) 1

Part1) Starting a new project Simple 3-to-8 Decoder Start the Xilinx ISE 8.1i Project Navigator: Select File > New Project in the opened window 2

Select a project location and name. For this tutorial we will name the project decoder. Click Next. 3

Select the device family, device, package, and speed grade, as shown here: Click Next. 4

Click New Source. Select VHDL Module and enter decoder as the file name: Click Next. 5

Specify the inputs and outputs for the decoder. We have one 3-bit input ( sel ) and one 8-bit output ( y ) Click Next. 6

In the summary window click Finish. 7

Click Next. 8

Click Next, because we do not want to add any other source. 9

In this summary window click Finish. 10

11 On the Project Navigator window, Click on the decoder.vhd tab below the summary window, or double-click on decoder behavioral in the top left Sources pane.

Using VHDL, describe the behavior for a 3-to-8 decoder. Don t worry about the code yet, you will learn its details soon 12

Before we can synthesize this design we need to specify what pins on the FPGA the inputs and outputs are connected to. Double-Click on Assign Package Pins under User Constraints in the Processes pane in the left of the Project Navigator window shown in slide 11. Note: You may be asked to save the VHDL file, and your design will be checked for syntax errors (these will need to be fixed before you can proceed). We want to create a UCF file, so click Yes. 13

The PACE editor will load as seen above Select Package View at the bottom of the right pane (this simply gives a better view of the physical FPGA package) 14

Enter the pin names for each signal in the Design Object List at the left as shown here: Click File > Save followed by File > Exit. 15

Note: This dialog may appear when saving the file: Note: You may notice that the items listed in the Processes pane have changed. The Processes pane shows the actions that can be run on the file that is currently selected in the Sources pane. Select the decoder-behavioral source to get the same actions that were previously shown. 16

You can then view the UCF file by double-clicking Edit Constraints (Text) in the Project Navigator: 17

Part2) Generating a PROM file How to generate a PROM file that can be written to the Platform Flash on the Spartan-3 board, so that your FPGA s configuration is saved even when the board is powered down. Double-click on Generate PROM, ACE, or JTAG File under Generate Programming File in the Processes pane of Xilinx -ISE window. You will notice that Project Navigator will execute the steps listed above Generate Programming File (Synthesis and Implement Design) and mark them with a green checkmark as they complete. 18

Enter the PROM File Name (for example, decoder_prom ) and click Next Select Prepare a PROM File and click Next 19

Click Finish. Select the appropriate PROM (which is xcf / xcf02s for this board) from the dropdown menus and click Add and click Next. 20

Select the decoder.bit file and click Open. Click OK. 21

Click No. Click OK. 22

Double-click on Generate File under the impact Processes pane. 23

You should then see impact report successful file generation: 24

Before you close impact, select File Save Project As Save the configuration under a filename such as decoder_prom.ipf, but do not use the default filename of decoder.ipf (remember this filename). You can now close impact. Click YES. 25

In the Project Navigator, right-click on Generate PROM, ACE, or JTAG File in the Processes pane and select Properties. In the Process Properties window that opens, set the impact Project File to the decoder_prom.ipf file that you saved at the end of Part 2. This will cause impact to always load this configuration. To enable automatic file generation (impact will not open, but the PROM file simply created in batch mode), turn on Automatically Generate File. Click Ok to apply these settings. Now, anytime you double-click Generate PROM, ACE or JTAG File, the PROM file will be automatically created. 26

Part3) Programming the board Make sure that your JTAG cable is plugged into your PC and the board, and that the board is powered up. Right-click on Generate Programming File in the Processes pane and select Properties. 27

In the Process Properties window that opens, select the Startup Options tab. Change the CCLK to JTAG Clock in the FPGA Start-Up clock property. Make sure the other options look like shown here Click Ok. 28

Double-click on Configure Device (impact). The impact tool will open and a wizard to create a new configuration will open. Click OK if you get this warning. 29

In the impcat window; double click on the Boundary Scan under the Flows pane. 30

In this window, right click and say Initialize chain 31

a) Programming the FPGA First, the FPGA will be highlighted in the main window ( xc3s200 ). You should select the decoder.bit file: Click Open. 32

b) Programming the EEPROM (Optional, see IMPORTANT section below) Now the PROM should be highlighted in the main window ( xcf02s ). IMPORTANT: Select the decoder_prom.mcs file that you generated and click Open if you want to make the process nonvolatile. OR you can just say Bypass and program only the volatile FPGA chip. 33

You will now be at the main impact window: Right-click on the FPGA ( xc3s200 ) and select Program. The following dialog will appear: 34

Make sure that Verify is not checked and click Ok. 35

The FPGA will now be programmed: And you get this message: 36

IMPORTANT: IF you want to program the EEPROM and configured it as explained in slide 33 (If you didn t say Bypass), then right-click on the PROM and select Program. The following dialog will appear: ELSE you can jump to slide 40. 37

Make sure that Erase Before Programming and Verify are both checked. Then click Ok. 38

The device will now be programmed: And you get this message: 39

You can now close the impact. If you get this message, select Yes here, If somehow you get the following problem: Problem: Whenever you double-click Generate PROM, ACE, or JTAG File, impact will not show you the dialogs to create the PROM file as shown in Part 2. Solutions: There are two workarounds to this problem: (1) delete any *.ipf files in the project s directory before you try to generate a PROM file, or (2) when impact opens, select File New and select Prepare Configuration Files, then follow the steps as described in Part 2. 40