Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Similar documents
3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

Xilinx SSI Technology Concept to Silicon Development Overview

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

IMEC CORE CMOS P. MARCHAL

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Thermo Mechanical Modeling of TSVs

Stacked Silicon Interconnect Technology (SSIT)

Bringing 3D Integration to Packaging Mainstream

Advancing high performance heterogeneous integration through die stacking

TechSearch International, Inc.

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

Interposer Technology: Past, Now, and Future

Constraint Driven I/O Planning and Placement for Chip-package Co-design

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

Advanced Packaging For Mobile and Growth Products

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

3D technology evolution to smart interposer and high density 3D ICs

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TechSearch International, Inc.

On GPU Bus Power Reduction with 3D IC Technologies

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

Material technology enhances the density and the productivity of the package

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

ASIC Physical Design Top-Level Chip Layout

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Chip/Package/Board Design Flow

3D technology for Advanced Medical Devices Applications

VISUALIZING THE PACKAGING ROADMAP

Multi-Die Packaging How Ready Are We?

CAD Algorithms. Placement and Floorplanning

C Allegro Package Designer Flows

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D Technologies For Low Power Integrated Circuits

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Advanced Heterogeneous Solutions for System Integration

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

A Design Tradeoff Study with Monolithic 3D Integration

BREAKING THE MEMORY WALL

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Physical Implementation

Eliminating Routing Congestion Issues with Logic Synthesis

Five Emerging DRAM Interfaces You Should Know for Your Next Design

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias

Chapter 2 Three-Dimensional Integration: A More Than Moore Technology

Burn-in & Test Socket Workshop

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

3D SoC and Heterogeneous Integrations

Development of a Design & Manufacturing Environment for Reliable and Cost- Effective PCB Embedding Technology

Packaging Technology for Image-Processing LSI

UCLA 3D research started in 2002 under DARPA with CFDRC

Routability-Driven Bump Assignment for Chip-Package Co-Design

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

3D & Advanced Packaging

Estimation of Wirelength

On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective

Thermal Sign-Off Analysis for Advanced 3D IC Integration

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Stacked IC Analysis Modeling for Power Noise Impact

Holonomic Power Integrity Signoff Methodology of Mobile Baseband Processor. Steven Guo Deputy Director IC-Packaging Codesign Aug 5,2014

CS310 Embedded Computer Systems. Maeng

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Moore s s Law, 40 years and Counting

WaferBoard Rapid Prototyping

Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

3-D Package Integration Enabling Technologies

Packaging Innovation for our Application Driven World

Embedded UTCP interposers for miniature smart sensors

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

The Pennsylvania State University The Graduate School College of Engineering ELECTRONIC DESIGN AUTOMATION CHALLENGES IN THREE

Additional Slides for Lecture 17. EE 271 Lecture 17

Next Generation Package on Package

Tutorial 2 Automatic Placement & Routing

TSV : impact on microelectronics European 3D TSV Summit MINATEC Campus Grenoble, January 22nd, 2013

Chapter 0 Introduction

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION

Placement Strategies for 2.5D FPGA Fabric Architectures

Topological Routing to Maximize Routability for Package Substrate

E-tec Socketing solutions for BGA, LGA, CGA, CSP, MLF & Gullwing chips

Monolithic 3D IC Design for Deep Neural Networks

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Prerequisites for Rou4ng

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Cadence On-Line Document

Contactless Single-trip Ticket ICs MF0 IC U10 01 MF0 IC U11 01 Specification bumped sawn wafer on UV-tape

TechSearch International, Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

PLACEMENT OF TSVS IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D IC) College of Engineering, Madurai, India.

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Chapter 6 Detailed Routing

Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Epigap FAQs Part packges and form factors typical LED packages

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France

Transcription:

I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis

Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary 2

I NVENTIVE 3D IC Stack Interconnect Modeling

Multi-Chip Interconnect Technology Regular Chip with Flip Chip Bumps Chip with TSV plus Backside Metal Micro-bump on the top/bottom or flip-chip bump on top/bottom metal TSV Multi-Chip Interconnect Technology Micro-bumps layer for interconnect between chips TSV with backside metals layer to allow interconnect stacking Flip Chip Bump for interconnect to package Design methodology development is critical in physical design tool development to address the different styles of 3D IC. 4

Face-To-Face 2-Chip Stacked 3D IC Die 1 3D IC Interconnect Model Micro-Bump: Small Placed any where. Size and spacing rule Micro-Bump TSV: two types Fine TSV: small size Super-TSV: very large size TSV Size and spacing rules Back-Side Die 2 Backside metal layers: Flip Chip Bumps RDL layers. Flip-Chip Bump: medium Package bump: Large Package Bump 5

TSV Modeling = TSV is cell and a Via? Super TSV Regular TSV M1 Overlap TSV Cut Substrate MB1 Overlap Super TSV goes through substrate and metal stack. Limited placement locations Modeled as a cell 6 Regular TSV (smaller geometry) TSV is modeled as a via. Can be placed anywhere inside chip with special constraints.

Micro-Bump: Ball and Pad Substrate of Tier2 The ball which is usually much smaller than flip chip bump Micro-bump Pad: one pad on top (Tier2) and the other one on bottom (Tier1). In the physical IC design space, only the microbump pad is used. Micro-bump pad is modeled as a cell. 7. Used in analysis tools Tier 2 M7 bump MB1 Substrate of Tier 1 V12 Micro-bump Ball TSV The micro-bump ball and also the pad are modeled in the IC stack file M6 M1 M2. Micro-bump interconnect contains two objects Tier 1

I NVENTIVE 3D IC Stack Configuration

Package View of 3D IC TSV via size 5-30 micron Substrate thickness 50-100 micron Micro-bump size 20 50 micron Flip Chip bump size <= 150 micron Stacking configuration is an essential modeling tool to drive both the physical design space and also the analysis space. Package pins are usually hard constraints when optimizing the Z direction. Design flow can be bottom-up (package driven) Design flow can be top down (IC driven) 9

3D IC Stacked Die Configuration Examples Back-to-front configuration Front-to-front configuration Substrate Substrate Cont M1 Top Die (Tier 2) Cont M1 Top Die (Tier 2) M6 V67 M6 V67 M7 m-bump Pad M7 m-bump Pad m-bump Ball m-bump Ball M7 m-bump Pad MB1 m-bump Pad Backside metal TSV Substrate M1 Via12 M2 Bottom Die (Tier 1) M7 Bottom Die (Tier 1) Substrate M7 Flip Chip Pad Ball Package 10 M2 V12 M1 TSV Backside metal bump pad Ball Package

Horizontal Stacking Silicon Interposer Micro-Bump Die2 45 nm Die1 65 nm Flipped and Placed Here Flipped and Placed Here Micro-Bump Flip-Chip-Bump Package-Bump 11 Back-Side Metal Silicon Interposer (no active devices) can use mature silicon technology

3D IC Configuration Need a flexible configuration specification to allow the description of Vertical stack Horizontal stack Mixed stack It allows the designer with an appropriate set of tools to evaluate each stacking configuration. Each configuration provides different aspects of design space Thermal impact Routing Congestion TSV via density Power supply impact Heterogeneous die in the stack (digital, analog, RF, package) requires the use of multiple design systems. Package design, Digital IC Design, Analog IC and RF design 12

I NVENTIVE Physical Design With TSV

Design Implementation For 3D IC 14 Multi-Chip Stacking netlist Configuration File Power Specification 3D Floorplanning Analysis System Architecture And Partitioning Design Implementation (Custom, digital, analog, RF, memory) Sign-off SIP Co-Design Design Description: Stacking Configuration Multi-chip Connectivity Power Specification Work with existing Design Implementation Tools 3D IC interconnect Model 3D enabled placement and routing 3D Analysis tools Interconnect Extraction timing & SI Thermal analysis Voltage drop analysis Integration with Package CoDesign (SIP)

TSV size Impact on placement and routing TSV diameter Size 1 2 3 4 5 6 6 rows of standard cells TSV cut size is about 5-10X the height of standard cell in 32 nm technology. TSV placement disturbs standard cell row placements TSV cut size is about 15-30X M1 min-width. Special routing rules for M1: Use of max width wire TSV thermo-mechanical stress has impact on mobility of nearby devices Best handled with keep out area from diffusion area Small distance to digital cells and bigger distance near analog cells. 15

TSV Placement Methodology Periphery Based Placement Area Based Placement Size and other physical constraints dictate special design methodology for TSV (and micro-bump) placement and routing. Some examples are: Peripheral based: Normally connected to IO with ESD protection. Area based: Can be connected to internal cells without ESD. Mixed approach: some with ESD, some without ESD Floorplanning and placement must consider TSV and microbump locations. 16

Routing With TSV Back to Face Example Routing on M1 and MB1 layer. TSV Back-side RDL routing Substrate Cont M1 M1 Routing Layer IO cell Top Die (Tier 2) M6 V67 M7 m-bump Pad Back-side Bump m-bump Ball Backside metal Substrate MB1 m-bump Pad TSV M1 Via12 M2 Bottom Die (Tier 1) M7 M7 Flip Chip Pad Ball Package 17

Summary 3D IC stack introduces new interconnect components We introduced physical modeling for 3D IC interconnect for placement and routing Two sides for the chip, where metal layers can be used Micro-bump and TSV are the two main components to connect multiple dies Physical sizes of TSVs and also their physical properties, dictates the need for special methodology for placement and routing Stress dictates special distance from cells and macros. Sizes restricts where TSV can be placed on the die Floor planning, placement of cells and macros is constrained by TSV and micro-bump placement Design methodology is critical to 3D IC physical design 18