Photoresist Modulation Curves

Similar documents
Immersion Microlithography at 193 nm with a Talbot Prism Interferometer

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

The Death of the Aerial Image

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Using the Normalized Image Log-Slope, part 5: Development

On the quality of measured optical aberration coefficients using phase wheel monitor

A New Fast Resist Model: the Gaussian LPM

Practical approach to full-field wavefront aberration measurement using phase wheel targets

Optimization of Photolithography Process Using Simulation

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Approaching the numerical aperture of water - Immersion lithography at 193nm

Benefiting from polarization effects on high-na imaging

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Benefiting from Polarization: Effects at High-NA Imaging

Stochastics and the Phenomenon of Line-Edge Roughness

Enhanced Lumped Parameter Model for Photolithography

Introduction to Diffraction Gratings

OPC flare and optical modeling requirements for EUV

Evanescent wave imaging in optical lithography

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

2013 International Workshop on EUV Lithography Hanyang University

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Image Degradation due to Phase Effects in Chromeless Phase Lithography

Hybrid hotspot detection using regression model and lithography simulation

Photoresist Qualification using Scatterometry CD

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Advanced Simulation Techniques for Thick Photoresist Lithography

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia)

Overlay control methodology comparison: field-by-field and high-order methods

Coupling of surface roughness to the performance of computer-generated holograms

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

Snell or Fresnel The influence of material index on hyper NA lithography

Lab2: Single Photon Interference

Outline. Abstract. Modeling Approach

SYNTHETIC SCHLIEREN. Stuart B Dalziel, Graham O Hughes & Bruce R Sutherland. Keywords: schlieren, internal waves, image processing

AP Physics Problems -- Waves and Light

AP* Optics Free Response Questions

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

High spatial resolution measurement of volume holographic gratings

Computational Lithography Turning Physics into Yield

CSPLAT for Photolithography Simulation

Iterative procedure for in-situ EUV optical testing with an incoherent source

Coping with Variability in Semiconductor Manufacturing

The Overlapping Effects of Step Exposure by Laser Interferometric. Lithography System

Materials for and performance of multilayer lithography schemes

Beam Profilier - Beamage 3.0

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

What is Frequency Domain Analysis?

Lithography Simulation

Strengthening the leadership

Challenges in high NA, polarization, and photoresists

Process Variation Aware OPC with Variational Lithography Modeling

Engineered Diffusers Intensity vs Irradiance

Investigation of interactions between metrology and lithography with a CD SEM simulator

Overlay accuracy fundamentals

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Optics Vac Work MT 2008

Refined Measurement of Digital Image Texture Loss Peter D. Burns Burns Digital Imaging Fairport, NY USA

Physics 214 Midterm Fall 2003 Form A

College Physics B - PHY2054C

Manufacturing Challenges and their Implications on Design

Tutorial Solutions. 10 Holographic Applications Holographic Zone-Plate

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations

Diffraction and Interference

Be careful not to leave your fingerprints on the optical surfaces of lenses or Polaroid sheets.

Application of Tatian s Method to Slanted-Edge MTF Measurement

Low k 1 Logic Design using Gridded Design Rules

On Comparing Conventional and Electrically Driven OPC Techniques

13. Brewster angle measurement

Reducing shot count through Optimization based fracture

Hyperspectral interferometry for single-shot absolute measurement of 3-D shape and displacement fields

Polarization Ray Trace, Radiometric Analysis, and Calibration

Defect Repair for EUVL Mask Blanks

FLAP P6.2 Rays and geometrical optics COPYRIGHT 1998 THE OPEN UNIVERSITY S570 V1.1

CoE4TN4 Image Processing. Chapter 5 Image Restoration and Reconstruction

Coherent Gradient Sensing Microscopy: Microinterferometric Technique. for Quantitative Cell Detection

OPTI-521 Graduate Report 2 Matthew Risi Tutorial: Introduction to imaging, and estimate of image quality degradation from optical surfaces

Gating Image Intensifiers

Performance of DoFP Polarimeter Calibration

Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired

Physical Optics. 1 st year physics laboratories. University of Ottawa.

Demonstration of the centralized optical backplane architecture in a three-board microprocessor-to-memory interconnect system

Continuous Imaging Fluid Particle Analysis: A Primer

Weighted least squares regression for advanced overlay control

REMOTE SENSING OF SURFACE STRUCTURES

Dynamic Performance of DUV Step & Scan Systems and Process Latitude

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012

An Intuitive Explanation of Fourier Theory

12X Zoom. Incredible 12X (0.58-7X) magnification for inspection of a wider range of parts.

Edge Detection (with a sidelight introduction to linear, associative operators). Images

General framework for parameter optimization in imaging interferometric lithography

Chapter 2: Wave Optics

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena

Accurate alignment technique for nanoimprint lithography

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Double Slit Experiment: One Photon at a time. Abstract

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

Transcription:

Photoresist Modulation Curves Anatoly Bourov, Yongfa Fan, Frank C. Cropanese, Bruce W. Smith Rochester nstitute of Technology, 82 Lomb Memorial Dr., Rochester, NY 14623 ABSTRACT Photoresist modulation curves are introduced as a quantitative way to characterize the photoresist process performance when used as a detector in a microlithographic system. The new method allows predicting exposure latitude of the photoresist process across a wide range of resolutions and modulation levels of the aerial image. The data collection process is demonstrated using an immersion interference system, capable of variable resolution and full control over the modulation of the delivered aerial image. Keywords: photoresist, modulation curve, system resolution, immersion, interference, lithography 1. NTRODUCTON Characterizing photoresist process performance in microlithography has historically been a difficult process. While the performance of the optical system is fairly easy to model, converting a predicted aerial image into a useful resist metric can result in a detector model with more than 30 parameters. 1 Many of these resist parameters are difficult to measure experimentally using an imaging system, and therefore have to be obtained indirectly using assumptions inherent in the photoresist model. The simplified data-based models, typically used in OPC, while providing good accuracy within a certain parameter space, require strenuous build cycles. 2 They are also limited in the parameter space by the levels of modulation and resolution that the tool the model is built on can provide. The simplest resist model known to date, a resist blur model, still suffers from some restricting assumptions, while still requiring certain independent measurements of the development parameters. 3 The concept of critical MTF 5 has been found useful to characterize photoresist performance, as have the threshold modulation curves that are used in many imaging systems. 4 A combination of these two approaches, together with redefinition of the performance metric is presented in this work, allowing for greater precision of photoresist characterization, while still refraining from making any assumptions about the physics of photoresist exposure, bake and development processes. 2. ANALYTCAL APPROACH Let us assume that whenever we mention aerial image the discussion implies the light intensity inside the photoresist media, varying in one direction, x. n the case of a sinusoidal image with the shape = 0 (1 + m cos 2 πξ x), the modulation of the intensity image can be defined as m = max max and is the fundamental measure of image quality. When m equals to 0, the image has no variation in the x axis, and carries no information a detector (photoresist) can use. With m =1, the aerial image has the highest contrast possible with this shape of the image. The definition of photoresist modulation curves (PMC) is the modulation of an aerial image producing a just acceptable photoresist response. At the modulation levels below the PMC, the photoresist response is unacceptable, and at the levels above the PMC, the photoresist performs adequately. The acceptable resist response can be defined in terms of having a desired level of Exposure Latitude (EL). The value of EL is defined as the range of relative dose values that + min min (1)

produce the CD values within the pre-specified window ( CD). An example of the Photoresist Modulation Curve for a hypothetical Gaussian blur resist is given in Figure 1. From examining the shape of the curve, the general characteristics are apparent: a lower level of modulation is required to produce an acceptable image at low resolution levels (low NA), while a better image is needed to produce a good resist response at a higher NA. Also, higher modulation is needed if higher exposure latitude is required at the same resolution. t is also evident that under certain conditions a resolution limit will be reached no matter what the delivered aerial image modulation (modulation can not exceed 1). For example, if exposure latitude of 10% is required, then the absolute limit of this photoresist system is NA=7 (or half-pitch of 71.5 nm). Modulation resist needs to have certain EL half-pitch (nm) 242 161 121 97 81 69 60 1.2 EL = 2% EL= 5% EL= 10% 10%< EL m 5%< EL < 10% 2%< EL < 5% EL < 2% 0.3 0.5 0.7 NA of mage in Resist Figure 1: A Photoresist Modulation Curve for a hypothetical photoresist. The PMC separates the modulation levels that produce an acceptable photoresist response (e.g. EL better than 5%) from the modulation that produces an unacceptable one (e.g. EL worse than 5%). The photoresist modulation curves represent all of the information necessary to characterize photoresist performance as a detector in the imaging system, if exposure latitude is used as a metric. t makes quantitative comparisons between different resist processes and even different resist systems easy and direct. The procedure for obtaining the photoresist modulation curve is quite straightforward. After the experimental data has been collected, a smooth function needs to be fit to represent CD(E, m, NA), where NA = λ is the normalized 2 p frequency of the sine pattern. The function can take any shape, with the only requirement that the first partial derivative with respect to dose, CD E, be continuous. Exposure latitude is then calculated as (, NA) EL m CD E Es = E CD s E E = ES (2)

where E s is the dose-to-size, and CD is the range of values that is considered within the specification limit, typically 10 % of the target CD. The photoresist modulation curves are then obtained by inverting the function at different EL levels (, ) PMC = m EL NA (3) Once the photoresist modulation data defined above has been collected, it can be used for a variety of purposes, most notably for objective quantitative comparison of performance levels of different photoresist systems. Another compelling use is analysis of performance of the photoresist system in a projection tool (see Figure 2). n this example, the image modulation can be calculated using readily available aerial image simulators. The aerial image modulation is calculated at a 100 nm offset from the best focus, to allow for prediction of the system performance within a certain depth of focus window at a required exposure latitude level. The various configurations of the future tools can be examined to see what system performance one can expect from a particular optical setup as long as the photoresist is available today. Ultimate system resolution half-pitch (nm) 242 161 121 97 81 69 60 mage delivery, 100 nm defocus PMC, 10% EL m max resolution of system 0.3 0.5 0.7 NA Figure 2: Using the photoresist modulation curve to determine system performance levels. Maximum acceptable resolution from this combination of the scanner and a photoresist process occurs at NA=0.54 (p/2=87 nm) The image delivery modulation has been calculated for a projection scanner system using conventional illumination with a maximum NA of, partial coherence of 0.7, unpolarized illumination, a binary mask, and includes 100 nm of defocus. The advantage of using the modulation curves over any other common method available to lithographers is that the analysis is purely empirical, with no restricting assumptions placed on the shape of the function CD(E, m, NA). 3. EXPERMENTAL APPROACH n order for the Photoresist Modulation Curves to be useful, CD data has to be collected for a wide range of aerial image modulation and dose levels. However, a typical projection scanner is operating at the settings that provide the best image quality for its design resolution, and is incapable of providing high image contrast beyond that resolution. While various methods can be employed to reduce the modulation, a large portion of the sample space will be unattainable

(see Figure 3). The inability to have high modulation levels at high resolution will make it impossible to collect accurate data that can be used to analyze the performance of future tools. For this work, we studied a standard immersion photoresist, TOK LP-12, 80 nm think, coated over 92 nm of ARC- 29A, and protected by 40 nm of TSP-3A coating. mage Contrast in Resist half-pitch (nm) 242 161 121 97 81 69 60 unattainable m attainable best focus defocus f= 50 nm defocus f= 100 nm defocus f= 150 nm 0.3 0.5 0.7 NA of 1st order Figure 3: mage contrast that can be provided to the photoresist by a typical projection scanner. The data has been obtained via the use of an aerial image simulator 1. t is conceivable that the modulation can be reduced from the best focus condition, yet a large portion of sample space will still remain unattainable. An interferometer system (shown in Figure 4) is capable of providing very high and variable image quality limited only by the refractive index of the imaging prism, the fluid, or the photoresist, whichever is lower. Described in more detail elsewhere 6, the RT experimental apparatus consists of a compact line-narrowed excimer laser with high level of spatial coherence 7, combined with the Smith-Talbot interferometer prism. The beam-shaping optics allow full control of the polarization state, as well provide a high degree of dose and modulation uniformity over the 2 mm field on the wafer. The TE polarization state was used in this particular experiment, as it allows the maximum modulation of the aerial image in the photoresist to reach 1. The reduced modulation was achieved via the automated two-pass exposure process, with one of the passes producing an image with m =1, and the second with m =0. By varying the time ratio between the two exposures, effective dose modulation between 0 and 1 can be delivered. Fully automated stage control allowed to collect all of the exposures for a complete modulation-exposure matrix on a single wafer. While the tool was equipped for a rapid-change prism assembly, only one prism was readily available for this experiment.

TU Braggstar laser shutter beam expander field stop polarizer spatial filter phase grating demodulator quartz water Figure 4: The experimental system used to deliver an aerial image with known modulation. The dose delivered to photoresist was controlled using a Uniblitz shutter system with a specified accuracy of 3 ms. The pulserate of the ArF laser was set to 200 Hz, with a typical exposure time of approximately 10 s per field. Considering the total typical number of pulses was over 2000, the repeatability of dose was thus estimated to be governed by the pulse-to-pulse stability of the laser source, rather than by pulsecount error, or timing noise. With a spec value for pulseto-pulse stability of 6 %, and an average pulsecount of 2000, the dose-to-dose repeatability was estimated to be better than %. 4. RESULTS A series of photoresist exposures with varying modulation and dose levels was performed. The prism with the NA of (corresponding to a half-pitch of 60 nm) was used. To calculate the exposure latitude at each of the modulation levels, an empirical function was fitted, with the form of E E s arccos E ml CD = (4) πξ Where ξ =1/p is the spatial frequency of the pattern, E s is the dose to size, and m l is the modulation of the latent image. This function describes a photoresist model with the latent image distribution given by ( ml ( ) ( x) ) ρ = ρ 1 cos 2 0 + ξ πξ (5) Which, combined with a threshold development model can lead to a simple expression tying the exposure dose E and the measured size of the photoresist pattern, CD Es CD = 1+ ml ( ξ ) cos 2πξ E 2 While a function of any shape can be used, this particular fit function appears to provide the best description of the experimental data among all the attempted functions with two parameters. (6)

Fitting the CD data for m = CD (nm) 80 78 76 74 72 70 68 66 64 62 60 Measured fit with m= 7 8 9 10 11 12 Dose (mj/cm 2 ) Figure 5: Experimental data and fitted function for the dose series with the aerial image modulation of. The fit represents the equation (4) with the latent image modulation m l of. Performing similar fit for data series with other levels of aerial image modulation, the exposure latitude can be calculated according to the equation CD E E s CD π EL = = = ml E CD s CD 2 E (7) The choice of dose-to-size has been made so that CD=p/2. Once the shape of the dependency of EL on the aerial image modulation m has been established (see Figure 6), it can be inverted to provide the m as a function of desired exposure latitude and the NA. t is this function that represents the photoresist modulation curves. n the case of the data shown here, it appears a simple linear dependence would describe the data best. t is noteworthy that the equation describing the dependence of EL on m (EL=a m + b) has a statistically significant parameter b, suggesting that the latent image modulation m l is not directly proportional to m. This indicates that it would be incorrect to assume the photoresist exposure process to be linear in nature. The threshold development model was used for the data fitment, for lack of development parameter information about this resist. t is possible that with the correction for the development parameters the linear exposure model 3 m l =m r (ξ) m, where m r represents the MTF of the photoresist, may still prove to be applicable to this photoresist. This can be further explored when more data is collected at multiple NA settings. Fitting equation EL=a m + b to the data presented resulted in the parameter estimates a = 2 ± 2, and b = 6 ± 1. This fit, when combined with data at other NA values, can be used to construct the photoresist modulation curve.

Exposure Latitude vs m 1.2 0.18 0.16 0.14 m latent 0.12 0.10 8 6 EL (relative) 4 2 0 1.2 m mage Figure 6: Exposure Latitude as a function of aerial image modulation. This plot is used to generate the photoresist modulation curve data. t is immediately obvious the best fit function of EL(m ) can be readily inverted to produce the PMC data at the NA of. 5. CONCLUSONS The new proposed method of characterizing photoresist process performance has some notable advantages over the conventional resist models. The photoresist modulation curves are based on experimental data and require very few assumptions to be made, yet they allow to quickly predict performance when combined with simulated aerial image modulation data. They also provide a fast, both visual and quantitative method for comparing performance across different photoresist processes. The approach has been described in full, and demonstrated experimentally, using an interferometric immersion lithography system. 1. PROLTH v.9, KLA-Tencor, Austin, TX, USA 6. REFERENCES 2. Yuri Granik, Nick Cobb, Proc SPE 5040 (2003) 1166-1175 3. John A. Hoffnagle, William D. Hinsberg, Frances A. Houle, and Martha. Sanchez, Proc SPE 5038 (2003) 464 4. Chris Dainty, Rodney Shaw, mage Science, Academic Press, 1974 5. K.L. Tai, R.G. Vadimsky, C.T. Kemmerer, J.S. Wagner, V.E. Lamberti, and A.G. Timko, J. Vac. Sci. Technol. 17(5) 1169-1176 6. Anatoly Bourov, Yongfa Fan, Frank C. Cropanese, Neal V. Lafferty, Lena Zavyalova, Hoyoung Kang, Bruce W. Smith, Proc SPE 5377 (2004) 1573 7. TU Braggstar LN, TuiLaser AG, Munich, Germany