ChipScope Inserter flow. To see the Chipscope added from XPS flow, please skip to page 21. For ChipScope within Planahead, please skip to page 23.

Similar documents
Copyright 2014 Xilinx

1-1 SDK with Zynq EPP

Creating a base Zynq design with Vivado IPI

Figure 1 TCL Used to Initialize PS

Use Vivado to build an Embedded System

This guide is used as an entry point into the Petalinux tool. This demo shows the following:

Avnet Zynq Mini Module Plus Embedded Design

ChipScope Demo Instructions

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System

Interrupt Creation and Debug on ML403

Santa Fe (MAXREFDES5#) MicroZed Quick Start Guide

Hardware In The Loop (HIL) Simulation for the Zynq-7000 All Programmable SoC Author: Umang Parekh

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

QSPI Flash Memory Bootloading In Standard SPI Mode with KC705 Platform

MAXREFDES43# ZedBoard Quick Start Guide

Adding the ILA Core to an Existing Design Lab

Zynq-7000 All Programmable SoC: Concepts, Tools, and Techniques (CTT)

Firstly, lets build the example design that shall be used throughout this tutorial by following the steps below:

ISE Tutorial: Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications

Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications. UG750 (v12.3) November 5, 2010

Introduction to Embedded System Design using Zynq

Lab Exercise 4 System on chip Implementation of a system on chip system on the Zynq

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

10/02/2015 Vivado Linux Basic System

TP : System on Chip (SoC) 1

Use Vivado to build an Embedded System

Parallella Linux - quickstart guide. Antmicro Ltd

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

Fresno (MAXREFDES11#) ZedBoard Quick Start Guide

CE 435 Embedded Systems. Spring Lab 3. Adding Custom IP to the SoC Hardware Debug. CE435 Embedded Systems

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 5 Embedded Chipscope Debugging

ISE Tutorial. Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications. UG750 (v14.4) December 18, 2012

Copyright 2016 Xilinx

Introduction to Zynq

Adding Custom IP to the System

Zynq-7000 All Programmable SoC: Embedded Design Tutorial. A Hands-On Guide to Effective Embedded System Design

Pico ChipScope Documentation

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial

System Ace Tutorial 03/11/2008

Using the ChipScope Pro for Testing HDL Designs on FPGAs

Xilinx ChipScope ICON/VIO/ILA Tutorial

Integrating LogiCORE SEM IP in Zynq UltraScale+ Devices

Microblaze for Linux Howto

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version

ZedBoard: Zynq-7000 AP SoC Concepts, Tools, and Techniques

Module 2: Adding IP to a Hardware Design

EDK Base System Builder (BSB) support for XUPV2P Board. Xilinx University Program

Designing with ALTERA SoC Hardware

Zynq System Architecture Design Lab Workbook Beta

POWERLINK Slave Xilinx Getting Started User's Manual

Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit

Partial Reconfiguration of a Processor Tutorial. PlanAhead Design Tool

ChipScope Pro Software and Cores User Guide

Alameda (MAXREFDES24#) ZedBoard Quick Start Guide

Lab 1 - Zynq RTL Design Flow

Hello World on the ATLYS Board. Building the Hardware

Xilinx Vivado/SDK Tutorial

System Debug. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ZedBoard: Zynq-7000 AP SoC Concepts, Tools, and Techniques

MicroZed: Hello World. Overview. Objectives. 23 August 2013 Version 2013_2.01

Creating a Processor System Lab

Mailbox Interrupt debug 11/11/2016

Vivado Design Suite User Guide. Designing IP Subsystems Using IP Integrator

PetaLinux SDK User Guide. Board Bringup Guide

GigaX API for Zynq SoC

Hardware Demonstration Design

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

EDK Concepts, Tools, and Techniques

MAXREFDES44# MicroZed Quick Start Guide

FMC-IMAGEON Building a Video Design from Scratch Tutorial

Requirement ZYNQ SOC Development Board: Z-Turn by MYiR ZYNQ-7020 (XC7Z020-1CLG400C) Vivado and Xilinx SDK TF Card Reader (Micro SD) Windows 7

Corona (MAXREFDES12#) ZedBoard Quick Start Guide

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

The Zynq Book Tutorials

AUTOESL AXI TRAINING: LAB EXERCISES

EDK Concepts, Tools, and Techniques

PlanAhead Software Tutorial

Campbell (MAXREFDES4#) Nexys 3 Quick Start Guide

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design

Partial Reconfiguration of a Processor Peripheral Tutorial. PlanAhead Design Tool

Spartan-6 LX9 MicroBoard Embedded Tutorial. Lab 6 Creating a MicroBlaze SPI Flash Bootloader

Synaptic Labs' AXI HyperBus Memory Controller (HBMC) IP for Xilinx FPGA Devices Tutorial

Carmel (MAXREFDES18#) LX9 MicroBoard Quick Start Guide

HyperBus Memory Controller (HBMC) Tutorial

10/02/2015 PetaLinux Image with Custom Application

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu)

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

EDK Concepts, Tools, and Techniques

Spartan -6 LX9 MicroBoard Web Connectivity On Ramp Tutorial

ML410 VxWorks BSP and System Image Creation for the BSB DDR2 Design Using EDK 8.2i SP1. April

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 2 Adding EDK IP to an Embedded System

Fremont (MAXREFDES6#) Nexys 3 Quick Start Guide

Campbell (MAXREFDES4#) Nexys 3 Quick Start Guide

Virtex-4 PowerPC Example Design. UG434 (v1.2) January 17, 2008

ZC706 Built-In Self Test Flash Application April 2015

Using ChipScope. Overview. Detailed Instructions: Step 1 Creating a new Project

Writing Basic Software Application

Transcription:

In this demo, we will be using the Chipscope using three different flows to debug the programmable logic on Zynq. The Chipscope inserter will be set up to trigger on a bus transaction. This bus transaction will be caused in SDK. The three flows are: ChipScope Inserter flow. To see the Chipscope added from XPS flow, please skip to page 21. For ChipScope within Planahead, please skip to page 23. Step 1: Create a simple XPS system using the Base System Builder (BSB): stephenm@xilinx.com Page 1

Use the import button in XPS to import the ZC702 SoC settings: stephenm@xilinx.com Page 2

Step 2: Create the Netlist. Hardware -> Device Configuration Step 3: Configure the Chipscope: Open the Chipscope inserter tool: Start -> Al l Programs -> Xilinx Design Suite Tools 14.4 -> Xilinx Design Tools 14.4 -> Chipscope Pro -> Chipscope 64-bit Tools -> Core Inserter Add the top-level netlist found in the implementation folder in the XPS project directory Set the device family to Zynq stephenm@xilinx.com Page 3

Under the Trigger Parameters tab, select Trigger Width as 3. This is for demo purposes to make things simple. This can be set to suit the designers debug needs. stephenm@xilinx.com Page 4

Under the Capture Parameters tab, select Data Width as 130. This is for demo purposes to make things simple. This can be set to suit the designers debug needs. stephenm@xilinx.com Page 5

Next, add Clock Signals, Data Signals, and the Trigger Signals. Under Clock Signals, add the processor_system7_0_fclk_clk0 and select Make Connections stephenm@xilinx.com Page 6

Under Trigger Signals, add the axi4lite_0_m_awvalid<0-1> and select Make Connections stephenm@xilinx.com Page 7

Under Data Signals, add the axi4lite_0_s_araddr<0-31>, axi4lite_0_s_awaddr<0-31>, axi4lite_0_s_rdata<0-31>, axi4lite_0_s_wdata<0-31> add the axi4lite_0_m_awvalid<01> and select Make Connections Once this is done, select OK, and Next to add Yes to Proceed with Core Insertion Once this is generated you should see the message: stephenm@xilinx.com Page 8

So now we should have the.ngo file in the implementation folder. Rename the top level.ngc file to system.ngc to system_old.ngc, and rename system.ngo to system.ngc and generate the bitstream: Before closing the Chipscope Inserter, save the CDC file into the implementation folder too stephenm@xilinx.com Page 9

Step 4: Create SDK test application to trigger the Chipscope Export to SDK, Project -> Export Hardware Design to SDK Include the bitstream and BMM and select Export and Launch SDK: stephenm@xilinx.com Page 10

Once SDK is launched, create a new Test Peripheral Application (File -> New -> New Application) Select Next, and select Peripheral Tests and Finish to Exit stephenm@xilinx.com Page 11

Generate the Linker script. To do this right click on the application and select Generate Linker. Place all the sections in DDR and select Generate to create the linker script. Next Program the Zynq SoC using the program FPGA GUI in SDK, Xilinx Tools -> Program FPGA: Step 5: Debug the system using the Chipscope Analyser Open the Chipscope analyser tool: Start -> Al l Programs -> Xilinx Design Suite Tools 14.4 -> Xilinx Design Tools 14.4 -> Chipscope Pro -> Chipscope 64-bit Tools -> Analyser Initialise the JTAG Chain by selecting the icon highlighted in red below: stephenm@xilinx.com Page 12

Click OK: Check to see if the Chipscope unit was detected in the console: stephenm@xilinx.com Page 13

If this is not the case, go back to step 3 and make sure the unit was added. Next, add the CDC file. In the Analyser, File -> Import and navigate to the.cdc file that was saved into the implementation folder in step 3. Select OK to continue: Set the Trigger from XXX to 100. This will cause a trigger on any of the valid signals: stephenm@xilinx.com Page 14

Finally, Arm the Chipscope: stephenm@xilinx.com Page 15

Back in SDK, run the application. To do this right click on the Application -> Run As -> Run Configurations: stephenm@xilinx.com Page 16

Double click on Xilinx C/C++ ELF: Then Run to run the application stephenm@xilinx.com Page 17

The Analyser sample buffer will fill and we can see the transactions on the AXI interface: For further debug signals see the Transaction Timing Example signals for the AXI interface you are using: AXI Master Lite AXI Master Burst AXI Slave Burst stephenm@xilinx.com Page 18

To run the bitstream from the SD card, the bootgen tool in SDK can be used. The BootGen will require an FSBL, so create this in SDK. Next Launch the BootGen, Xilinx Tools -> Create Zynq Boot Image: Set up as follows: Rename the resulting bin file in the bootimage folder to BOOT.bin and copy this onto the SD card stephenm@xilinx.com Page 19

Set MODE pins on the ZC702 to boot from the SD CARD: Place the SD card into the SDIO slot on the ZC702 and power on the board. To test, open a hyperterminal, and set the BAUD rate to 115200. Press the SRST_B button on the ZC702 (located beside the SDIO). The Test Peripheral should be seen on the serial port: Re-do step 5 to see this in chipscope stephenm@xilinx.com Page 20

In this section, the Chipscope will be added using the Debug -> Debug Configuration GUI in XPS: We shall add an ILA: Choose the signals which you wish to monitor, and OK to exit. stephenm@xilinx.com Page 21

Now in XPS, Export to SDK, Project -> Export to SDK. In SDK, create a Test Peripheral application. See page 11 for help here. Once this is created, programme the FPGA. See page 12 for help here. Now Open the Chipscope Analyser. See 13 for help here. If added correctly, you will see the Found 1 Core Unit message in the console: stephenm@xilinx.com Page 22

In this flow we will add the Chipscope directly onto the nets in Planahead. To do this create a simple Planahead project, with a XPS (with Zynq ) as a submodule and create a top level wrapper. Next open the synthesized Design: stephenm@xilinx.com Page 23

In the Synthesized Design open the system ->nets and choose the same signals as seen in page 5 ->8 Note: to capture the signal, right click and select Mark Debug Select OK: Once this is done the nets will look like: stephenm@xilinx.com Page 24

Next, set up the Chipscope: Next.. stephenm@xilinx.com Page 25

Next, select the trigger. Here, I have the AWVALID set to Trig only, and the reset as Data only. To do this highlight the signal you want to change, and set it as appropriate, as shown below: Note: To see the clock, right click on any net and select, Select Clock Domain Select Next, and Finish to continue. stephenm@xilinx.com Page 26

Next Generate the Bitstream: Save: stephenm@xilinx.com Page 27

Next, Launch ChipScope Analyser: stephenm@xilinx.com Page 28

Next, Configure the JTAG chain: Next configure the FPGA. To do this right click on the XC7C702: stephenm@xilinx.com Page 29

Select OK to continue: stephenm@xilinx.com Page 30

Next, Import the CDC file. File -> Import, and select Select New : The CDC file should be in.\project_1\project_1.runs\impl_1 stephenm@xilinx.com Page 31

Next, set up the trigger condition, and arm the ChipScope: Finally, follow the steps on page 16 18 to test the application stephenm@xilinx.com Page 32