Interposer Technology: Past, Now, and Future

Similar documents
WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

Advanced Heterogeneous Solutions for System Integration

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

Advancing high performance heterogeneous integration through die stacking

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Bringing 3D Integration to Packaging Mainstream

Advanced Packaging For Mobile and Growth Products

Stacked Silicon Interconnect Technology (SSIT)

Xilinx SSI Technology Concept to Silicon Development Overview

HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Five Emerging DRAM Interfaces You Should Know for Your Next Design

TechSearch International, Inc.

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

TechSearch International, Inc.

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

The Evolution of Multi-Chip Packaging: from MCMs to 2.5/3D to Photonics. David McCann November 14, 2016

Introduction. SK hynix

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

2.5D FPGA-HBM Integration Challenges

All Programmable: from Silicon to System

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Multi-Die Packaging How Ready Are We?

High Performance Memory in FPGAs

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

TechSearch International, Inc.

BREAKING THE MEMORY WALL

Packaging Innovation for our Application Driven World

IMEC CORE CMOS P. MARCHAL

VISUALIZING THE PACKAGING ROADMAP

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Start Your HBM/2.5D Design Today

3DIC & TSV interconnects

3-D Package Integration Enabling Technologies

Verification of Singulated HBM2 stacks with Die Level Handler. Dave Armstrong Toshiyuki Kiyokawa Quay Nhin

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Low Power & High Bandwidth Memory Trend

3DIC & TSV interconnects business update

Beyond Moore. Beyond Programmable Logic.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

3D technology evolution to smart interposer and high density 3D ICs

System Scaling Opportunities for Future IT Systems

High performance HBM Known Good Stack Testing

TSV : impact on microelectronics European 3D TSV Summit MINATEC Campus Grenoble, January 22nd, 2013

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

genzconsortium.org Gen-Z Technology: Enabling Memory Centric Architecture

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

The 3D-Memory Evolution

AMD Radeon Vega Frontier Edition

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

NVIDIA Tesla P100 GPU with HBM2

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

IEEE/EPS Chapter Lecture in the Silicon Valley Area Fan-Out Wafer-Level Packaging for 3D IC Heterogeneous Integration

VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri Harsha* 1, S.Anjaneeyulu 2

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND

Supercharge Your Applications with Samsung High Bandwidth Memory

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

Die Stacking Is Happening

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Fundamentals of Quantitative Design and Analysis

Hybrid Memory Cube (HMC)

Future Memories. Jim Handy OBJECTIVE ANALYSIS

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

The FPGA: An Engine for Innovation in Silicon and Packaging Technology

CST STUDIO SUITE R Supported GPU Hardware

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

2.5D interposer, 3DIC and TSV Interconnects Applications, market trends and supply chain evolutions

SEMI 大半导体产业网 MEMS Packaging Technology Trend

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung System LSI Business

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES

3D technology for Advanced Medical Devices Applications

Stacking Untested Wafers to Improve Yield. The 3D Enigma

Enabling Technology for the Cloud and AI One Size Fits All?

Technical Article MS-2442

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

Nanya Technology DRAM Environment & Company Update

World s most advanced data center accelerator for PCIe-based servers

SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System

Current and Future Challenges of the Tofu Interconnect for Emerging Applications

Industry Trends in 3D and Advanced Packaging

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects

Gen-Z Memory-Driven Computing

Transcription:

Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇

3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016, interposer-tsv has grown into a steady 3DIC business 4 years after the 1 st CoWoS product Huge efforts spent in perfecting the technology, plus HBM as a key add-on Phil Garrou, IFTLE 212 2

Why Interposer But Not Yet 3D IC? Interposer SIP: Node independent: TSV in a mature, interconnect-only chip High yield: Enjoy fab-class, low D 0 wafer process Thermal friendly: Directly leverage existing thermal solutions Logic-based 3DIC: Node dependent: TSV insertion in advanced Si node Yield concern: Ability for KGD before stacking Thermal: High power density requires innovative thermal solutions Interposer (TSV in passive Si) 3D-IC (TSV in active Si) Interposer Chip A Chip B TSV Chip A Chip B Die partition, -Logic integration Mobile, High-end 3

Chip Yield, Arb. Unit Homogeneous CoWoS SIP Chip Partition Break one large die into to smaller dies of same functions Significant yield benefit to justify the integration cost D 0 = D/2 in -2 D 0 = D in -2 Yield = Exp(-D 0 *A) 0 200 400 600 800 Chip size, mm 2 4

Heterogeneous CoWoS SIP Logic chips of different functions and nodes Cost saving from core chip partition and lower cost IO chip Logic-memory integration A long missed goal in both SoC and SIP Performance, power, and form factor SoC CoWoS Technology SoC Substrate 5

IO Ball Count Interposer CoWoS Application Space 3000 2500 2000 1500 1000 500 0.4 mm ball pitch InFO Multi-chip integration Small form-factor Cost competitive End-user devices 1 mm ball pitch CoWoS High performance SoC partition Stacked memory (HBM) compatible Very high pin count (>3000) Extremely high performance Cloud servers, super computers 0 0 500 1000 1500 2000 2500 3000 Package Size, mm 2 Clear differentiation between interposer-tsv and fan-out technologies 6

1 st Generation CoWoS Production starts with 28nm logic chips (2012) Homogeneous and heterogeneous interposer up to 800 mm 2 (Full reticle size) 7V2000T 6.8B XTORS 7V580T Homogeneous Integration Heterogeneous Integration Courtesy of Xilinx 7

Fast Time-to-Market for New Si Nodes Demonstrated industry s first 16nm network processor on CoWoS module (2014) Fast time-to-market is achieved by interposer SIP, which eliminates node-dependent CPI seen in conventional packages 28nm IO 16nm Core 2 16nm Core 1 Package View CoW Wafer View Courtesy of Hisilicon 8

2 nd Generation CoWoS Development 3D memory integration Interposer 1200 mm 2 (~1.5x reticle size) Better scalability Reduced mismatch Enhanced power integrity

2 nd Generation CoWoS : CoWoS-XL1 Industry s first 20nm FPGA product on CoWoS (2015) Extra large interposer ~1200 mm 2 Composed by two-masks stitching of sub-micron RDL Package with record-large chip size Passed stringent component reliability tests XCVU440 20B X stors Courtesy of Xilinx 10

HBM1 Gen1 vs. Gen2 HBM1 HBM2 4Hi HBM JEDEC standard JESD235 JESD235A Stack size (mm x mm) ~5.5 x 7.3 ~8.0 x 12 Total z height (um) 480 720 Number of ubump 4942 4942 Bandwidth per stack 128 GB/s 200-256 GB/s 4-Hi Capacity 1 GB 4 GB 8-Hi Capacity N/A 8 GB Availability 2015 2016 ubump interface to Si interposer Sources: SK hynix & Samsung HBM2 has far better density and bandwidth than HBM2 11

2 nd Generation CoWoS : CoWoS-XL2 HBM GP100 150B XTORS HBM HBM HBM 1st interposer-hbm2 product (2016) 16nm SoC chip + 4 HBM2 (16 GB) 1200 mm 2 interposer size 300 W power consumption 150B transistors total, 15.3B on SoC Core of deep learning supercomputer GDDR5 Higher Bandwidth 288 GB/s 12GB GDDR5 (x12) HBM2 720 GB/s 16GB HBM2 (x4) Lower Power per bit transferred ~20 pj/b <10 pj/b Courtesy of NVIDIA 12

1 st Interposer SIP with HBM The 1 st Product with HBM (2015) 28nm GPU + 4 HBM1 (4 GB) Large interposer size 1010 mm 2 1 st non-cowos interposer product Different suppliers for interposer and integration (UMC/ASE) bandwidth: 512 GB/s AMD Fiji HBM HBM HBM HBM Source: AMD 13

2 nd Generation CoWoS : Milestones Achieved Record-large chip size: 1200 mm 2 (Si interposer) Integrate 3D memory stacks with logic by CoWoS 150B transistors in a package Manufacturing infrastructure of 3 rd -party dies on CoWoS A number of performance breakthroughs 14

CoWoS SIP: Cost vs. Value Volume is by far the No.1 factor in the cost equation Not yet find a niche in mobile applications Firm demand in the extremely high-end market (Cloud) Interposer is an add-on technology High intrinsic cost is unavoidable compared with flip chip The key is whether it has sufficient value to justify the cost? 15

Key Merits of CoWoS Integration Desnsity: Sub-micron RDL interconnects Dual damascene, plenty of room for scaling Small via, no routing penalty Fab-class, low defect density Capacity: Super large interposer 1200 mm 2 in production Enables highest level of multi-die integration Native to HBM integration JESD235A compatible. No customization needed. Eliminates ELK related CPI!!! Same thermal solution as flip chip DD SAP Interposer SIP 40 mm 30 mm Source: tsmc 16

Summary CoWoS is an excellent high-end SIP platform High yield, good reliability Market leader since 2012 Fast time-to-market Very wide technology envelope CoWoS is a big enabler for HBM Natively compatible with JESD235A Realize the goal of logic-memory integration Growing demand that will last for long Extremely high-end cloud and supercomputer systems Highly flexible for heterogeneous integration. E.g., core/io, memory, SerDes, Si photonics,. 17

Thank You Expect Logic-based 3D IC to come out soon