[Guide Subtitle] [optional]

Similar documents
Revision: May 11, E Main Suite D Pullman, WA (509) Voice and Fax LED. Doc: page 1 of 6

Digilab 2 XL Reference Manual

Digilab 2 Reference Manual

Revision: 5/7/ E Main Suite D Pullman, WA (509) Voice and Fax. Power jack 5-9VDC. Serial Port. Parallel Port

Digilab 2E Reference Manual

Revision: February 19, E Main Suite D Pullman, WA (509) Voice and Fax. Switching Power Supplies 3V3 1V2 2V5 1V8

StickIt! MPU-9150 Manual. How to install and use your new StickIt! MPU-9150 Module

SiliconBlue. SiliconBlue Technologies iceman65 Board. Programmable Solutions for Consumer Handheld. 7-MAY-2008 (v1.

ZC702 Si570 Programming June 2012

I/O Pin Planning Tutorial. PlanAhead Design Tool

ISE Tutorial. Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications. UG750 (v14.4) December 18, 2012

Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications. UG750 (v12.3) November 5, 2010

VTR-2000 Evaluation and Product Development Platform. Instruction Sheet SOC Technologies Inc.

Cmod Board Reference Manual. Overview. 1 Functional Description. Revised October 26, 2012 This manual applies to the Cmod rev. D

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation

CoolRunner-II Demo Board

Wasp Embedded Controller

VTR-S1000. Quick-Start Guide. - Decoder Kit. Evaluation and Product Development Platform. Revision SOC Technologies Inc.

RTL Design and IP Generation Tutorial. PlanAhead Design Tool

AC701 Built-In Self Test Flash Application April 2015

AccelDSP Synthesis Tool

Nios Embedded Processor Development Board

VTR-4000B Evaluation and Product Development Platform. User Guide SOC Technologies Inc.

ISE Tutorial: Using Xilinx ChipScope Pro ILA Core with Project Navigator to Debug FPGA Applications

February 28,

KC705 Si570 Programming

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation

Mega128-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN (317) (317) FAX

Opal Kelly. XEM3001v2 User s Manual. A business-card sized (3.5 x 2.0 ) experimentation board featuring the Xilinx Spartan 3 FPGA.

USB-to-I2C Basic. Hardware User s Manual.

Vivado Design Suite Tutorial. I/O and Clock Planning

EVB-USB2514Q36-BAS, USB2513 and USB Pin QFN Evaluation Board, Revision C User Manual

Table Of Contents TABLE OF CONTENTS...1

SNC36060 Four Channels Speech Controller

BIG8051. Development system. User manual

Section 1 Introduction

Copyright 2011 R.S.R. Electronics, Inc. All rights reserved. 04/11. Ver. 1.0web

SBAT90USB162 Atmel. SBAT90USB162 Development Board User s Manual

ISim Hardware Co-Simulation Tutorial: Processing Live Ethernet Traffic through Virtex-5 Embedded Ethernet MAC

CDB5364. Evaluation Board for CS5364. Features. Description CS5364 A/D RS232 USB Micro. Control I²C or SPI S/PDIF. Output.

KC705 Ethernet Design Creation October 2012

SP605 MultiBoot Design

PlanAhead Software Tutorial

Virtex-6 FPGA GTX Transceiver OTU1 Electrical Interface

Vivado Design Suite Tutorial. I/O and Clock Planning

Virtex-4 LX/SX Prototype Platform

MEAS TEMPERATURE SYSTEM SENSOR (TSYS01) XPLAINED PRO BOARD

UM PCAL6524 demonstration board OM Document information

USB Debug Adapter. Power USB DEBUG ADAPTER. Silicon Laboratories. Stop. Run. Figure 1. Hardware Setup using a USB Debug Adapter

I/O Pin Planning Tutorial. PlanAhead Design Tool

FMC-MCM-1000 Evaluation and Product Development Platform. Instruction Sheet SOC Technologies Inc.

UM10766 User manual for the I2C-bus RTC PCF85263A demo board OM13510

MultiBoot and Fallback Using ICAP in UltraScale+ FPGAs

SNC Four Channels Speech Controller

Xilinx Personality Module (XPM) Interface Specification

SP605 Standalone Applications

Chameleon POD Specification v1.2

ML605 PCIe x8 Gen1 Design Creation

ChipScope Pro Software and Cores User Guide

PVK40. User's manual. Feature Rich Development and Educational Kit for 40-pin Microchip PIC microcontrollers

KC705 PCIe Design Creation with Vivado August 2012

JTAG-HS1 Programming Cable for Xilinx FPGAs. Overview. Revised January 22, 2015

Configuring the Xilinx Spartan-6 LX9 MicroBoard

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

AC/DC. Adapter. Ribbon. Cable Serial. Serial. Adapter. Figure 1. Hardware Setup using an EC2 Serial Adapter

Nios Soft Core. Development Board User s Guide. Altera Corporation 101 Innovation Drive San Jose, CA (408)

Evaluation Board User Guide UG-035

QT3 Xplained Pro. Preface. Atmel QTouch USER GUIDE

Quick Front-to-Back Overview Tutorial

USB-to-I2C. Professional Hardware User s Manual.

F²MC-8FX FAMILY MB95100 SERIES EMULATOR HW SETUP 8-BIT MICROCONTROLLER APPLICATION NOTE. Fujitsu Microelectronics Europe Application Note

BV511 Hardware Guide ByVac ByVac Revision 1.0

ML605 Restoring Flash Contents

Synthesis Options FPGA and ASIC Technology Comparison - 1

Mercury Baseboard Reference Manual

EVB-USB2517 Evaluation Board User Manual (Revision A)

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

ISim In-Depth Tutorial. UG682 (v13.4) January 18, 2012

LBAT90USB162 Atmel. LBAT90USB162 Development Board User s Manual

User Manual. CANopenIA-M0. Starter Kit

Spartan-II Demo Board User s Guide

8051 Intermidiate Development Board. Product Manual. Contents. 1) Overview 2) Features 3) Using the board 4) Troubleshooting and getting help

MEAS HTU21D PERIPHERAL MODULE

Vivado Design Suite Tutorial:

EVB-USB2250 User Manual Revision B

ZC706 GTX IBERT Design Creation November 2014

3.3V regulator. JA H-bridge. Doc: page 1 of 7

ZC706 Built-In Self Test Flash Application April 2015

AKKON USB CONTROLLER BOARD

F2MC MB90385 series Evaluation Board Documentation. Revision Date Comment V New document

Shack Clock kit. U3S Rev 2 PCB 1. Introduction

STK521. User Guide B AVR 01/12

I/O Planning Tutorial. PlanAhead Design Tool

Evaluation Board User Guide UG-047

ZC706 GTX IBERT Design Creation June 2013

DP-8020 Hardware User Guide. UG1328 (v 1.20) December 6, 2018

MAX II/MAX IIZ Development Board

DEV-1 HamStack Development Board

Transcription:

[Guide CoolRunner-II Title] Common Evaluation UG Board Template Reference Set Manual [Guide Subtitle] [optional] UG000 UG501 (v1.0) (v5.0) May August 15, 24, 2008 2007 [optional] R

R Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx hardware devices. You may not reproduce, distribute, republish, download, display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx. Xilinx expressly disclaims any liability arising out of your use of the Documentation. Xilinx reserves the right, at its sole discretion, to change the Documentation without notice at any time. Xilinx assumes no obligation to correct any errors contained in the Documentation, or to advise you of any corrections or updates. Xilinx expressly disclaims any liability in connection with technical support or assistance that may be provided to you in connection with the Information. THE DOCUMENTATION IS DISCLOSED TO YOU AS-IS WITH NO WARRANTY OF ANY KIND. XILINX MAKES NO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THE DOCUMENTATION, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, OR NONINFRINGEMENT OF THIRD-PARTY RIGHTS. IN NO EVENT WILL XILINX BE LIABLE FOR ANY CONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOSS OF DATA OR LOST PROFITS, ARISING FROM YOUR USE OF THE DOCUMENTATION. 2008 Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, the Brand Window, and other designated brands included herein are trademarks of Xilinx, Inc. All other trademarks are the property of their respective owners. CoolRunner-II Evaluation Board www.xilinx.com

Revision History The following table shows the revision history for this document. Date Version Revision 05/15/08 1.0 Initial Xilinx release. www.xilinx.com CoolRunner-II Evaluation Board

CoolRunner-II Evaluation Board www.xilinx.com

R CoolRunner-II Evaluation Board Reference Manual Overview The CoolRunner TM -II Evaulation Board is a complete USB-powered circuit development platform for the Xilinx CoolRunner-II CPLD. The board includes highly efficient power supplies, a user configurable oscillator, several user I/O devices, a real-time current meter, and a USB port for board power and CPLD programming. The board includes five expansion connectors that route 64 signals available from the CPLD to external circuits to expand board capability. Board features include: A 256 macrocell CoolRunner-II CPLD in a TQ-144 package An on-board USB port for board power, CPLD programming, and user data transfers An on-board three-channel 16-bit Δ Σ A/D converter that measures real-time current on VCCINT and the two V CCIO banks during board operation (data is sent to the PC for display via the USB cable) A user-configurable silicon oscillator (1000/100/10 khz), plus a socket for a second crystal oscillator 64 I/O signals available on expansion connectors (32 on Pmod connectors; 32 on a parallel connector) Pads for an on-board SPI PROM CoolRunner-II Evaluation Board www.xilinx.com 1

Overview R Figure 1-1: CoolRunner-II Evaluation Board Figure 1-2: CoolRunner-II Evaluation Board Block Diagram 2 www.xilinx.com CoolRunner-II Evaluation Board

R Software Installation Software Installation A Windows based CoolRunner-II Utility Window software application is provided with the CoolRunner-II evaluation board. This application is used to program the CPLD, change security settings, and monitor board current and temperature. This application should be installed prior to connecting the board to a PC so that Windows will recognize the board the first time it is plugged in. At this time, Windows XP and Vista (32-bit versions) are the only supported operating systems for the Utility Window software. Upon opening the package, you can easily test the board for basic functionality by performing the following steps: 1. Insert the Resource CD and click on Software Install to install the CoolRunner- II Utility Window software. 2. Attach the USB connector to a computer and the board. On the initial connection to the USB port, the Add New Hardware Wizard will appear and ask you to install the driver. When prompted, select to install the driver automatically. Once installed, the 3.3V supply LED (LD5) on the CoolRunner-II board should light to show that the regulator is enabled and the CPLD is powered. 3. Start the CoolRunner-II Utility Window from : Start Programs Digilent Tools 4. Press the Start button to begin viewing the power consumption for the device. In order to program the CPLD, the ISE WebPACK software must also be installed. 1. Insert the ISE WebPACK DVD and run the install program. 2. Re-boot the PC. 3. Ensure that the CoolRunner-II Evaluation Board is connected to the PC. 4. Start the CoolRunner-II Utility Window program from: Start Programs Digilent Tools 5. After the CoolRunner-II Utility Window appears, press the Browse button (shown in Figure 1-3) and navigate to the desired JED file. (The demonstration JEDEC file is present on the resource CD at /CR-II_board_files/demomain/top.jed). Figure 1-3: Browse Button CoolRunner-II Evaluation Board www.xilinx.com 3

Demonstration Design R Demonstration Design Configuration 6. Press the Program button to configure the CPLD (Erase will happen automatically and does not need to be performed in advance of programming). The design pre-programmed into the CPLD acts as the LCD module driver, as well as implements a simple timer. The right-most two digits of the 7-segment display represent time in seconds, the left-most two digits represent minutes. LED3 is a heartbeat that pulses every second. BTN0 is a push-button reset for the counter. BTN1 is a push-button pause for the counter and LED display. SW0 is a slide switch for the DataGATE control signal. The design is intended to operate with a clock frequency of 1 MHz (JP1 set to bottom two pins). The design will still function with slower clock frequencies, but the counter will operate slower and the 7-segment display will not refresh at a sufficient rate. Without the LCD module installed, power the board and start the CoolRunner-II Utility Window. Begin taking power measurements in the software. Notice how the power changes when you change the position of clock frequency jumper (JP1). Naturally, the faster clock results in increased power consumption. Now press and hold BTN0 (reset). Notice a slight power reduction on V CCINT, as internal counters are held in reset. V CCIO is relatively unchanged, as the input clock is still causing the input buffer to toggle. Next, enable the DataGATE feature by sliding SW0 to the left. This blocks the clock signal from the CPLD, so the design will halt operation. You will see power drop for V CCINT to quiescent levels (in the 20 μa range). V CCIO also decreases as I/O power is saved by using DataGATE. When you switch SW0 back to the right, note that the counter picks up where it left off; you do not lose design data by entering this low power mode. You should observe that the power change is gradual, and not instantaneous. This is a result of the Average Filter option. This option is set to average out the curve displayed on the screen. This is desired because the current is sampled roughly once a second, and the power consumption of the device could be higher or lower than the average depending on the active state of the design. For example, a circular shift register would have consistent power measurements since the number of signals toggling every clock cycle is identical, whereas a binary counter would not be consistent. Now, plug the LCD module into ports J3 and J4. Press the reset button (BTN0) and the LCD will initialize and scroll Xilinx CoolRunner-II across the display. The CPLD on the CoolRunner-II Evaluation Board has been pre-programmed with the project named Demomain. You can modify this design or create your own design from schematics or HDL source files using the free ISE WebPack software from Xilinx. Configuration files can be transferred to the CoolRunner-II Evaluation Board using a USB cable and the CoolRunner-II Utility Window software, or using an external programming cable (not provided) and Xilinx s impact software. If using the Xilinx programming cable and impact, attach the JTAG leads to the pins on J8. Once configured, the CPLD will retain its state indefinitely. When the CoolRunner-II Evaluation Board is powered on, the most recently loaded CPLD configuration will be available immediately. A new configuration can be loaded at any time, and as soon as a new configuration is loaded, it will define the CPLD s behavior. To configure the CPLD: 1. Attach a USB cable to a host PC and to the CoolRunner-II Evaluation Board. 4 www.xilinx.com CoolRunner-II Evaluation Board

R Current and Temperature Meter 2. Start the CoolRunner-II Utility Window software Start All Programs Digilent Tools CoolRunner-II Utility Window 3. Click the Browse button, select the desired.jed CPLD configuration file, and then click on the Program button to configure the device. To verify the image in the CPLD, click the Browse button, select the.jed CPLD configuration file you want to verify, and click the Verify button. To erase the CPLD, click the Erase button. The Security button allows you to enable the Read Protect function of the CoolRunner-II device. This selection will take effect the next time the CPLD is programmed. Read Protect prevents the configuration file from being read back (therefore Verify operations will fail if a device has Read Protect enabled). To clear the security, the current configuration file in the CPLD must be erased. Current and Temperature Meter The CoolRunner-II Evaluation Board includes a three-channel A/D circuit that continuously measures the current consumed by the CPLD core and both I/O banks, and the also measures ambient temperature. Recorded data is sent to the PC where it continuously updates a graph in the CoolRunner-II Utility Window. The current and temperature meter is built around the Linear Technology LTC2494 16-bit delta-sigma converter. To use the current meter software, connect the CoolRunner-II Evaluation Board to the PC and start the CoolRunner-II Utility Window software. The current meter will acquire one temperature and nine current samples every two seconds and transfer the samples to a data buffer on the PC via the USB cable. The CoolRunner-II Utility Window software plots the data in a waveform graph, and shows the most recent, maximum, minimum running average of the data obtained. To change the scale of the plotted waveform, click the desired amperage/division radio button. Temperature and current data will be recorded and displayed if the associated checkbox for the data is checked. Un-checking a box will direct the software to ignore the associated data channel. An option checkbox for Average Filter is present to help smooth any dips or spikes, and to provide an understanding of the average load on the power supply. Turning this off shows real-time power consumption. The Calibrate button is used to calibrate the temperature sensor to the proper value. This only needs to be done once. The temperature reading can be viewed in Fahrenheit and Celsius scales by selecting the appropriate radio button. The Stop button causes the current meter to stop acquiring new data, and the Clear button stops data acquisition, purges the data buffers, and clears the waveform display. Use the Scale pull-down list box to view values on a different unit per division scale. Press the Min, Avg or Max buttons respectively to view the minimum, average, or maximum values for each measurement. To save the data values in the buffer to a CSV (Comma Separated Value) file, click the Save Buffer... button and specify a file name (note the temperature and current values will only be saved if their checkbox is checked). To load the data values from a CSV file into the buffer, click the Load Buffer button and specify a file name. CoolRunner-II Evaluation Board www.xilinx.com 5

Power Supplies R Power Supplies More detailed help on the software features can be found by clicking on Help from the CoolRunner-II Utility Window software. The CoolRunner-II Evaluation Board can be powered from its USB port, or from an external supply attached at connector JP3 (jumper JP2 selects whether the board uses USB power or external power). External power from any source is routed through a Linear Technology LT3028 regulator to produce the two voltage supplies (3.3V I/O and 1.8V core) required by the CPLD. Whenever board power is applied, the power-on LED will glow. USB Connector Battery Connector JP3 JP2 USB controller Vin LT3028 Regulator Enable 3.3V 1.8V Figure 1-4: Regulator To use an external power source, set jumper JP2 to BAT and apply power to the JP3 pins (see board silkscreen for orientation). Any 3.6VDC to 9VDC power supply can be used (for example, a transistor battery or 3 or 4 AA cells in series). The CoolRunner-II Evaluation Board uses a four layer PCB, with the inner layers dedicated to VCC and GND. The Linear Technology regulators, together with good power supply routing and ample bypass capacitors on all IC pins result in a very clean, low-noise power supply. Clocks The CoolRunner-II Evaluation Board includes a user-configurable silicon oscillator that produces a 1 MHz, 100 khz, or 10 khz clock signal based on the position of the clock select jumper at JP1. When the top two pins are jumpered, this produces a 10 khz clock to the CPLD. When no pins are jumpered, this produces a 100 khz clock. The bottom two pins jumpered produce a 1 MHz clock. This primary oscillator output, labeled PCLK in the schematic, is connected to the GCLK2 pin of the CPLD (at P38) so that it can be routed to the internal clock divider. A socket for a standard half-size DIP oscillator is provided at location IC3. Figure 1-5: Clock Circuits 6 www.xilinx.com CoolRunner-II Evaluation Board

R User I/O User I/O The CoolRunner-II Evaluation Board provides two push buttons and two slide switches for inputs, and four red LEDs and a four-digit LED display for outputs. The active-low push buttons and slide switches include series resistance for short circuit protection. The LEDs are active-low, and the seven-segment display uses transistors-decoupled active-high common anode signals and active-low cathodes. Figure 1-6: User I/O Three additional status LEDs indicate USB power (LD4), board power (LD5), and USB data activity (LD7). These signals are not driven by the CPLD, so are not shown in Figure 1-6. Figure 1-7 shows the positioning of the segments in the display. Each segment is activelow. Since the four digits share the same data bus, the multiplexing of the data to the display is done by the CoolRunner-II CPLD. If you wish to drive all digits with the same CoolRunner-II Evaluation Board www.xilinx.com 7

Expansion Connectors R value, simply drive AN1...4 low. If you wish to drive different values, you will need to change the output on the Cx bus with the appropriate ANx signal. Since this transition can happen at a high frequency, it gives the appearance that all digits are on simultaneously when they are actually being turned on one at a time, but cycled rapidly. Figure 1-7: Seven Segment Display Expansion Connectors The CoolRunner-II Evaluation Board provides four 12-pin peripheral module connectors. Each connector provides two V CCIO and GND connections, and eight unique CPLD I/O pins. Each connector can accommodate a single 12-pin Peripheral Module (Pmod) or two 6-pin Pmods. Several 6-pin peripheral module boards that can attach to these connectors are available, including speaker boards, H-bridge boards, sensor boards, etc. A convenient Peripheral Module Bundle Kit is available at www.xilinx.com that contains eight popular peripheral modules. Additional modules are available at www.digilentinc.com. The CoolRunner-II Evaluation Board also provides a 40-pin expansion connector that includes three power supply signals and 37 individual I/O signals. The following tables provide pinout information for all expansion connectors. 8 www.xilinx.com CoolRunner-II Evaluation Board

R Expansion Connectors The 12-pin peripheral module connections are shown in Table 1-1. Table 1-1: 12-Pin Peripheral Module Connectors J1, J2, J3, and J4 Pin Number J1 Pin J2 Pin J3 Pin J4 Pin 1 CPLD I/O 10 CPLD I/O 142 CPLD I/O 119 CPLD I/O 104 2 CPLD I/O 7 CPLD I/O 139 CPLD I/O 117 CPLD I/O 102 3 CPLD I/O GTS 5 CPLD I/O 136 CPLD I/O 115 CPLD I/O 100 4 CPLD I/O GTS 3 CPLD I/O 134 CPLD I/O 113 CPLD I/O 97 5 GND GND GND GND 6 3.3V 3.3V 3.3V 3.3V 7 CPLD I/O 9 CPLD I/O 140 CPLD I/O 118 CPLD I/O 103 8 CPLD I/O GTS 6 CPLD I/O 138 CPLD I/O 116 CPLD I/O 101 9 CPLD I/O 4 CPLD I/O 135 CPLD I/O 114 CPLD I/O 98 10 CPLD I/O GTS 2 CPLD I/O 133 CPLD I/O 112 CPLD I/O 96 11 GND GND GND GND 12 3.3V 3.3V 3.3V 3.3V The 40-pin expansion connector is shown in Table 1-2. Table 1-2: 40-Pin J5 Connector Expansion Pin Number J5 Pin 1 GND 2 Voltage to regulator (5V if USB powered) 3 3.3V 4 CPLD I/O 92 5 CPLD I/O 91 6 CPLD I/O 88 7 CPLD I/O 87 8 CPLD I/O 86 9 CPLD I/O 85 10 CPLD I/O 83 11 CPLD I/O 82 12 CPLD I/O 81 13 CPLD I/O 80 14 CPLD I/O 79 15 CPLD I/O 78 16 CPLD I/O 77 CoolRunner-II Evaluation Board www.xilinx.com 9

LCD Peripheral Module (PmodCLP) R Table 1-2: 40-Pin J5 Connector (Cont d) Expansion Pin Number J5 Pin 17 CPLD I/O 76 18 CPLD I/O 75 19 CPLD I/O 74 20 CPLD I/O 71 21 CPLD I/O 70 22 CPLD I/O 52 23 CPLD I/O 51 24 CPLD I/O 50 25 CPLD I/O 49 26 CPLD I/O 48 27 CPLD I/O 46 28 CPLD I/O 45 29 CPLD I/O 44 30 CPLD I/O 13 31 CPLD I/O 14 32 CPLD I/O 15 33 CPLD I/O 16 34 CPLD I/O 17 35 CPLD I/O 18 36 CPLD I/O 105 37 CPLD I/O 106 38 CPLD I/O 107 39 CPLD I/O 110 40 CPLD I/O 111 LCD Peripheral Module (PmodCLP) Design Recommendations The CoolRunner-II Starter Kit includes a 5 Volt 16x2 character LCD module called the PmodCLP. This module is just one example of the many peripheral modules that are available to quickly and easily extend the function of the evaluation board. Please see the PmodCLP User Manual for more information. The on-board regulator provides V CCIO of 3.3V, so set the default I/O standard appropriately Unused I/O termination should be set to ground to minimize power 10 www.xilinx.com CoolRunner-II Evaluation Board

R Taking the Next Step Taking the Next Step Upcoming Features Troubleshooting Input termination should be set to keeper to minimize power consumption on any potentially floating input pins If you are already familiar with Xilinx tools, you now have everything that you need to perform design prototyping as well as evaluate coding styles with an eye on power optimization. For users new to Xilinx ISE, we recommend the Programmable Logic Handbook, included on the resource CD. The first few chapters provide a history on Xilinx and programmable logic, and the later chapters provide step by-step instructions for creating a design, synthesizing and implementing, and programming the CPLD. New designs are being developed that will allow the user to transfer data into the CPLD from a Windows interface, as well as utilize the microprocessor embedded in the Atmel USB chip. Please visit the Digilent website (www.digilentinc.com) for the latest updates. CoolRunner-II CPLDs support JEDEC readback as well as a signature setting, which are not supported by the CoolRunner-II Utility Window at the time of initial release. These features will be added in future versions of the software. If this functionality is needed, you will need to purchase a programming cable and use the Xilinx impact programming software. The first step with any software issue is to ensure that you have the most recent version of the tools. Get the most recent service pack for ISE WebPACK here: www.xilinx.com/support/download Ther most recent version of CoolRunner-II Utility Window can be found here: www.xilinx.com/cr2starter Q: CoolRunner-II Utility Window does not recognize the device when I try to program, or try to start capturing current/thermal data. A: Re-connect both ends of the USB cable. Disconnect any leads attached to the JTAG header (J8) Verify that WebPACK is installed and the XILINX environment variable is set properly. - The environment variable can be found by right-clicking My Computer Properties Advanced tab Environment Variables - Verify that the XILINX system variable has the correct installation location. Q: CoolRunner-II Utility Window stops capturing data unexpectedly. A: The software is dependant on receiving data at regular intervals. If you have many processes running on your PC at the same time, it could be that the CR-II Utility Window did not get its data in the expected sampling window, and then timed out. To fix this, either increase the process priority for the CR-II Utility Window or run fewer programs simultaneously while capturing data. For Windows XP, increasing the process priority can be done via: CoolRunner-II Evaluation Board www.xilinx.com 11

Troubleshooting R Press Ctrl-Alt-Delete Select Task Manager Select the Processes tab Find CoolRunnerIIUW and right-click on it Then set Priority to High Q: Power consumption seems a little higher than I would expect. What can I do? A: - Set the Unused IO Termination style to Ground, and Input Termination to Keeper Ensure that you are not driving outputs on I/Os which are intended as inputs (such as the buttons or slide switches) Ensure that the you have the proper I/O standard setting (3.3V) Q: Why does the power reported by the CR-II Utility Window fluctuate? A: The data sampled by the software is done roughly once every second. Since the device is operating at a much faster rate, the point where the sample occurs could be at a time coincident with design activity or not. A design that has much more predictable activity, such as a circular shift register, will have much less fluctuations than a binary counter. Q: Where can I find updated documentation or software? A: You can find the latest information for the CoolRunner-II Starter Kit here: http://www.xilinx.com/cr2starter For additional technical assistance, contact Xilinx support at : http://www.xilinx.com/support/clearexpress/websupport.htm To discuss design ideas with other users, see the Xilinx forums at : http://forums.xilinx.com/ 12 www.xilinx.com CoolRunner-II Evaluation Board