TUTORIALS FOR MAX3000A CPLD DEVICES

Similar documents
TUTORIALS FOR MAX3000A CPLD DEVICES

SOPC LAB1. I. Introduction. II. Lab contents. 4-bit count up counter. Advanced VLSI Due Wednesday, 01/08/2003

Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA

Laboratory 4 Design a Muti-bit Counter and Programming a FPGA

Quick Tutorial for Quartus II & ModelSim Altera

Tutorial for Altera DE1 and Quartus II

Chapter 2 Getting Hands on Altera Quartus II Software

NIOS CPU Based Embedded Computer System on Programmable Chip

Laboratory 4 Design a Muti-bit Counter

Terasic DE0 Field Programmable Gate Array (FPGA) Development Board

ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

EE 231 Fall EE 231 Lab 2

CHAPTER 1 INTRODUCTION... 1 CHAPTER 2 ASSIGN THE DEVICE... 7 CHAPTER 3 DESIGN ENTRY CHAPTER 4 COMPILE AND VERIFY YOUR DESIGN...

NIOS CPU Based Embedded Computer System on Programmable Chip

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 7: VHDL and DE2 Board. Name: Date:

Workshop on Digital Circuit Design in FPGA

EE 231 Fall Lab 1: Introduction to Verilog HDL and Altera IDE

NIOS CPU Based Embedded Computer System on Programmable Chip

Building Combinatorial Circuit Using Behavioral Modeling Lab

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

Lab 3: Standard Combinational Components

Tutorial on Quartus II Introduction Using Schematic Designs

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date:

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London.

QUARTUS II Altera Corporation

COSC 3215 Embedded Systems Laboratory

Tutorial on Quartus II Introduction Using Verilog Code

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

FPGA Introductory Tutorial: Part 1

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

Experiment 18 Full Adder and Parallel Binary Adder

Experiment VERI: FPGA Design with Verilog (Part 1)

Introduction to VHDL Design on Quartus II and DE2 Board

Altera Quartus II Tutorial ECE 552

Laboratory Exercise 1

Lab 2 EECE473 Computer Organization & Architecture University of Maine

Laboratory Experiment Mastering Digital Design

My First FPGA Design Tutorial

AN 839: Design Block Reuse Tutorial

Lab 2: Introduction to Verilog HDL and Quartus

Sidewinder Development Board rev 1.0

CSEE W4840 Embedded System Design Lab 1

CPLD board datasheet EB

UNIVERSITI MALAYSIA PERLIS

Chapter 2: Hardware Design Flow Using Verilog in Quartus II

DOWNLOADING DESIGNS TO THE ALTERA DE10-LITE FPGA

IMPLEMENTING COUNTERS

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

Digital Circuit Design Using Xilinx ISE Tools

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

Chip Design with FPGA Design Tools

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory.

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

NEXYS4DRR board tutorial

Quartus II Introduction Using Verilog Designs. 1 Introduction. For Quartus II 12.0

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

ECE 4305 Computer Architecture Lab #1

PREFACE. Changes to the SOPC Edition

Tutorial 2 Implementing Circuits in Altera Devices

EET 1131 Lab #7 Arithmetic Circuits

PINE TRAINING ACADEMY

CSEE W4840 Embedded System Design Lab 1

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

Design Flow Tutorial

CSEE W4840 Embedded System Design Lab 1

ISE Design Suite Software Manuals and Help

Introduction to the Altera SOPC Builder Using Verilog Design

Partitioning for Better Synthesis Results

CP3811 FPGA Solution Course notes

CPLD board datasheet EB

Compiler User Guide. Intel Quartus Prime Pro Edition. Updated for Intel Quartus Prime Design Suite: Subscribe Send Feedback

QuartusII.doc 25/02/2005 Page 1

Quartus II Introduction Using Schematic Design

Laboratory Exercise 8

TLL5000 Electronic System Design Base Module

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

My Second FPGA for Altera DE2-115 Board

Digital Systems Laboratory

Xilinx Vivado/SDK Tutorial

Embedded System Design

University Program 3 Kit

The development board used in this class is ALTERA s DE The board provides the following hardware:

Typical applications where a CPLD may be the best design approach:

Engineering 303 Digital Logic Design Spring 2017

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2017

Altera Technical Training Quartus II Software Design

SCHEMATIC DESIGN IN QUARTUS

5 January ModelSim v5.7 Quick Reference Guide

1 Introduction 2. 2 Background 3. 3 Getting Started 4. 4 Starting a New Project 6. 5 Design Entry Using VHDL Code 13

ECE-6170 Embedded Systems Laboratory Exercise 3

ECE 437 Computer Architecture and Organization Lab 6: Programming RAM and ROM Due: Thursday, November 3

Quartus II Introduction Using Verilog Design

Lab 6: Intro to FPGAs

CPLD board. EB020

Transcription:

TUTORIALS FOR MAX3000A CPLD DEVICES WEL LAB BCD DECODER

CONTENTS: INTRODUCTION.. GETTING STARTED. DESIGN ENTRY. PIN ASSIGNMENT. PROGRAMMING CPLD DEVICE. REFERENCES. WEL LAB, IIT BOMBAY Page 2

Introduction: A seven-segment display consists of seven elements that are made of either LCDs (liquid crystal) or LEDs (light-emitting diodes).the elements are labeled a-g. Depending on which elements are turned on, the display decimal numerals 0 through 9. There are two important types of 7-segment LED display. In a common cathode display, the cathodes of all the LEDs are joined together and the individual segments are illuminated by HIGH voltages. In a common anode display, the anodes of all the LEDs are joined together and the individual segments are illuminated by connecting to a LOW voltage. WEL LAB, IIT BOMBAY Page 3

GET STARTED: You begin this tutorial by creating a new Quartus II project. A project is a set of files that maintain information about your FPGA design. The Quartus II Settings File (.qsf) and Quartus II Project File (.qpf) files are the primary files in a Quartus II project. To compile a design or make pin assignments, you must first create a project. 1. In the Quartus II software, Select File > New Project Wizard. The Introduction page opens. See fig-1. 2. Click Next. Fig-1 WEL LAB, IIT BOMBAY Page 4

3. Enter the following information about your project: a. What is the working directory for this project? Enter a directory in which you will store your Quartus II project files for this design, for example, C:\altera\bcd_decoder b. What is the name of this project? Type bcdecoder. c. What is the name of the top-level design entity for this project? Type bcdecoder. See fig-2. Fig.-2 Note: File names, project names, and directories in the Quartus II software cannot contain spaces. And the name of top module in the program should be same as given in the above window. 4. If you have any existing file of VHDL, or VERILOG HDL file then you can add those files to your project by simply clicking ( ) bar and then click Add button and if you want to add more than one file simply click Add All button. Otherwise leave it blank and click next tab. In fig -3. WEL LAB, IIT BOMBAY Page 5

Fig-3 5. In the next step we have to select the FPGA or CPLD device which we are using on our board (select MAX 3000A) as shown in fig -4 make all the settings as shown in the Fig-4. Fig-4 Since our Cpld have 64 macro cells so select EPM3064ALC44-10 and then click NEXT. WEL LAB, IIT BOMBAY Page 6

6. There is no change in window of fig-5 and click NEXT. Fig-5 7. Click next, summary of project and its details are shown in the next window.fig-6 8. Click Finish. Fig-6 WEL LAB, IIT BOMBAY Page 7

DESIGN ENTRY: In the design entry phase, you use RTL or schematic entry to create the logic to be implemented in the device. You also make pin assignments, including pin placement information, and timing constraints that might be necessary for building a functioning design. In the design entry step you create a schematic or Block Design File (.bdf) or Hdl file (Vhdl, Verilog) that is the top-level design. You will add library of parameterized modules (LPM) functions and use Verilog HDL code to add a logic block. When creating your own designs, you can choose any of these methods or a combination of them. a. Choose File > New > Verilog HDL File for verilog to create a new.v file or File > New > VHDL File to create a new.vhdl file (see Fig-7)which you will save as the top-level design. b. Click OK. Fig-7 WEL LAB, IIT BOMBAY Page 8

c. Choose File > Save As and enter the following information (see fig-8). File name: bcdecoder Save as type: Verilog Hdl File(*.v) or VHDL file(*.vhdl) Fig-8 d. Type the code into the blank bcdecoder file and save it. (see fig -9). WEL LAB, IIT BOMBAY Page 9

Fig-9 After the code is written, click the start compilation button in tool menu as shown in fig-9 WEL LAB, IIT BOMBAY Page 10

e. If the simulation is successful then a green tick mark is displayed in front of Compile Design in the task bar as shown below. Fig-10 WEL LAB, IIT BOMBAY Page 11

ASSIGNMENT OF PINS: In this section, you will make pin assignments. Follow the steps given below for making assignment. 1. Once the design is compiled, you can choose what pins to assign as input/output from Pin Planner under the Assignments tab in the Menu bar. It opens windows as shown below. Fig-11. After making the pin assignments recompile the design. Fig-11 WEL LAB, IIT BOMBAY Page 12

2. Re-run the compiler and make sure that there are no errors. 3. The pins which have been configured as input and output on the board are listed. Onboard clock is on pin no 43. Inputs Pin No. Outputs Pin No. SW1 4 SW2 5 SW3 6 SW4 8 SW5 9 SW6 11 SW7 12 SW8 14 LED1 24 LED2 25 LED3 26 LED4 27 LED5 28 LED6 29 LED7 31 LED8 33 WEL LAB, IIT BOMBAY Page 13

PROGRAMMING OF CPLD: 1. For programming the CPLD device open the tool option and select programmer a window will open as shown in fig(a) and fig(b). Create the Serial Vector file (.svf) for programming device. Fig(a) Fig(b) WEL LAB, IIT BOMBAY Page 14

2. Run the JTAG shell it will display the window as shown below 3. Type out the command cable FT2232. 4. The detect command should show the IR length of the CPLD connected followed by the details of the CPLD. 5. Since the CPLD is the only device in the JTAG chain, type the part 0 command. In case you have other devices connected to the JTAG chain, you can choose the appropriate device by specifying the corresponding part number. 6. The command svf <Address of the.svf file> will start programming the CPLD. WEL LAB, IIT BOMBAY Page 15

References : 1. www.altera.com 2. Software Download (Quartus), UrJTAG a. https://www.altera.com/download/software/quartus-ii-we b. http://www.brothersoft.com/urjtag-72585.html 3. www.asic-world.com 4. Fundamentals of Digital Logic with Verilog Design (Stephen Brown) 2E. WEL LAB, IIT BOMBAY Page 16