NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data

Similar documents
RTG4 PLL SEE Test Results July 10, 2017 Revised March 29, 2018 Revised July 31, 2018

ATMEL ATF280E Rad Hard SRAM Based FPGA. Bernard BANCELIN ATMEL Nantes SAS, Aerospace Business Unit

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA

Single Event Effects Testing of the Intel Pentium III (P3) Microprocessor

Microelectronics Presentation Days March 2010

Investigation of Proton Induced Radiation Effects in 0.15 µm Antifuse FPGA

Total Ionizing Dose Test Report. No. 17T-RT3PE3000L-CG484-QMPWN

Radiation Tolerant FPGA Update

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

LA-UR- Title: Author(s): Intended for: Approved for public release; distribution is unlimited.

Space: The Final Frontier FPGAs for Space and Harsh Environments

Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

Maximizing Logic Utilization in ex, SX, and SX-A FPGA Devices Using CC Macros

Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France.

ALMA Memo No Effects of Radiation on the ALMA Correlator

LEON- PCI- UMC Development Board

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

SINGLE BOARD COMPUTER FOR SPACE

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS

Reliability Improvement in Reconfigurable FPGAs

9. SEU Mitigation in Cyclone IV Devices

and MRAM devices - in the frame of Contract "Technology Assessment of DRAM and Advanced Memory Products" -

UG0725 User Guide PolarFire FPGA Device Power-Up and Resets

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM

AC412 Application Note IGLOO2 FPGA Flash*Freeze Entry and Exit - Libero SoC v11.8

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

RTG4 Enabled by Microsemi Power Technology Portfolio

outline Reliable State Machines MER Mission example

Leso Martin, Musil Tomáš

HCC40xxx, HCC45xxx. Rad-hard, high voltage, CMOS logic series. Description. Features

Dynamic Reconfigurable Computing Architecture for Aerospace Applications

1. INTRODUCTION /08/$ IEEE. 2 IEEEAC paper #1317, Version 4, Updated October 26, 2007

Building High Reliability into Microsemi Designs with Synplify FPGA Tools

SCS750. Super Computer for Space. Overview of Specifications

Executive Summary. Functional and Performance Validation of the 80S32 µc. Deliverable D5.2 - Report Workpackage 5 Evaluation Kit

16th Microelectronics Workshop Oct 22-24, 2003 (MEWS-16) Tsukuba Space Center JAXA

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Latches SEU en techno IBM 130nm pour SLHC/ATLAS. CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France

Your Company Logo Here. Flying High-Performance FPGAs on Satellites: Two Case Studies

Clearspeed Embedded Apps and Architecture for Space

Maximizing Logic Utilization in ex, SX, SX-A, and Axcelerator FPGA Devices Using CC Macros

Single Event Effects in SRAM based FPGA for space applications Analysis and Mitigation

Radiation Test Results of the Virtex FPGA and ZBT SRAM for Space Based Reconfigurable Computing

11. SEU Mitigation in Stratix IV Devices

Agenda. Programming Qualification for Rad-Tolerant Antifuse FPGAs. RT FPGA Qualification Updates. Long Term Reliability Testing

Libero SoC v11.9 SP2 Release Notes 11/2018

Outline. Trusted Design in FPGAs. FPGA Architectures CLB CLB. CLB Wiring

AGM CPLD AGM CPLD DATASHEET

ProASIC3/E SSO and Pin Placement Guidelines

Improving FPGA Design Robustness with Partial TMR

QPro Series Configuration PROMs (XQ) including Radiation-Hardened Series (XQR)

Radiation tests of key components of the ALICE TOF TDC Readout Module

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

FPGAs: Instant Access

Nevis ADC Design. Jaroslav Bán. Columbia University. June 4, LAr ADC Review. LAr ADC Review. Jaroslav Bán

About using FPGAs in radiation environments

Configurable Fault Tolerant Processor (CFTP) for Space Based Applications

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency

Development Status for JAXA Critical Parts, 2008

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited

Study on FPGA SEU Mitigation for Readout Electronics of DAMPE BGO Calorimeter

CSP: HIGH PERFORMANCE RELIABLE COMPUTING FOR SMALLSATS

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales

RADIATION TOLERANT MANY-CORE COMPUTING SYSTEM FOR AEROSPACE APPLICATIONS. Clinton Francis Gauer

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Title Laser testing and analysis of SEE in DDR3 memory components

SEFUW workshop. Feb 17 th 2016

S950 3U cpci Radiation Tolerant PowerPC SBC

Current status of SOI / MPU and ASIC development for space

Space Micro Satellite Computer Goals Space Computer Performance Goals: >1,000 MIPS throughput Less than 1 SEU in 1,000 days Less than 10 watts power R

Space Radiation and Plasma Environment Monitoring Workshop 13 and 14 May ESTEC. Efacec Space Radiation Monitors MFS & BERM

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4)

ATF280E A Rad-Hard reprogrammable FPGA

The High-Reliability Programmable Logic Leader. Products for Space Applications. QML Certification Part of Overall Quality Platform

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

A Novel Approach to a High Speed, Large Memory Spacecraft Data Storage Unit

Exploiting Error Detection Latency for Parity-based Soft Error Detection

MIPI CSI-2 Receiver Decoder for PolarFire

Altera FLEX 8000 Block Diagram

Pro Asic3 - Radiation test at CHARM. Christophe Godichal BE/BI/QP

INTRODUCTION TO FPGA ARCHITECTURE

CMOS Microcamera for Space Applications 3D PLUS

FPGAs in radiation-harsh environments

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA

Spatial Debug & Debug without re-programming in Microsemi FPGAs

Paper C2. SEU Tolerant Controls for a Space Application based on Dynamically Reconfigurable FPGA

Processor Building Blocks for Space Applications

Military Grade SmartFusion Customizable System-on-Chip (csoc)

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems

8. Migrating Stratix II Device Resources to HardCopy II Devices

Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel

Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

Transcription:

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data Melanie Berg, AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov Kenneth LaBel, NASA/GSFC Jonathan Pellish, NASA/GSFC Presented Presented by Melanie by Melanie Berg Berg at the at NASA the NASA Electronics Electronics Parts Parts and and Packaging Packaging (NEPP) (NEPP) Electronics Electronics Technology Technology Workshop Workshop (ETW), (ETW), Greenbelt, Greenbelt, MD, MD, June13 16, June13 16, 2016. 2016. 1

Acronyms Clock conditioning Circuit (CCC) Combinatorial logic (CL) Dedicated Global I/O (DGBIO) Design under analysis (DUA) Device under test (DUT) Double data rate (DDR) Edge-triggered flip-flops (DFFs) Field programmable gate array (FPGA) FDDR: Double Data Rate Interface Control; Global triple modular redundancy (GTMR) Hardware description language (HDL) Input output (I/O) Linear energy transfer (LET) Local triple modular redundancy (LTMR) Low cost digital tester (LCDT) Look up table (LUT) NASA Electronics Parts and Packaging (NEPP) Operational frequency (fs) PLL: Phase locked loop POR: Power on reset Radiation Effects and Analysis Group (REAG) SERDES: Serial-De-serializer Single Error Correct Double Error Detect Single event functional interrupt (SEFI) Single event effects (SEEs) Single event transient (SET) Single event upset (SEU) Single event upset cross-section (σ SEU ) Static random access memory (SRAM) Static timing analysis (STA) Total ionizing dose (TID) Triple modular redundancy (TMR) Windowed shift register (WSR) 2

Introduction This is a NASA Electronics Parts and Packaging (NEPP) independent investigation to determine the single event destructive and transient susceptibility of the Microsemi RTG4 device (DUT). For evaluation: the DUT is configured to have various test structures that are geared to measure specific potential single event effect (SEE) susceptibilities of the device. Design/Device susceptibility is determined by monitoring the DUT for Single Event Transient (SET) and Single Event Upset (SEU) induced faults by exposing the DUT to a heavy-ion beam. Potential Single Event Latch-up (SEL) is checked throughout heavy-ion testing by monitoring device current. 3

Preliminary Investigation Objective for DUT Functional SEE Response The preliminary objective, of this study, is to analyze operational responses while the DUT is exposed to ionizing particles. Specific analysis considerations: Analyze flip-flop (DFF) behavior in simple designs such as shift registers. Compare SEU behavior to more complex designs such as counters. Evaluating the data trends will help in extrapolating test data to actual project-designs. Analyze global route behavior clocks, resets. Analyze configuration susceptibility. This includes configuration cell upsets and re-programmability susceptibility. Analyze potential single event latch-up. 4

FPGA SEU Categorization as Defined by NASA Goddard REAG: SEU cross section: σ SEU Design σ SEU Configuration σ SEU Functional logic σ SEU SEFI σ SEU Sequential and Combinatorial logic (CL) in data path SEU Testing is required in order to characterize the σ SEU s for each of FPGA categories. Global Routes and Hidden Logic 5

RTG4 Radiation-Mitigated Architecture Figure is Courtesy of Microsemi Corporation. Total-dose hardening of Flash cells. Single-event hardening of registers, SRAM, multipliers, PLLs. Comprehensive radiation-mitigated architecture for signal processing applications

Microsemi RTG4: Device Under Test (DUT) Details The DUT : RT4G150-CG1657M. We tested Rev B and Rev C devices. The DUT contains: 158214 look up tables (4-input LUTs); 158214 flip-flops (DFFs); 720 user I/O; 210K Micro-SRAM (usram) bits; 209 18Kblocks of Large-SRAM (LSRAM); 462 Math logic blocks (DSP Blocks); 8 PLLs; 48 H-chip global routes (radiation-hardened global routes); Figures are Courtesy of Microsemi Corporation. Hardened flash cell LUT: look up table. SRAM: sequential random access memory. DSP: digital signal processing. PLL: phase locked loop. DFFs are radiation hardened using Self- Correcting TMR (STMR) and SET filters placed at the DFF data input. 7

NEPP has populated two Rev B and one populated Rev C boards with RT4G150-CG1657M devices. The parts (DUTs) were thinned using mechanical etching via an Ultra Tec ASAP-1 device preparation system. The parts have been successfully thinned to 70um 90um. DUT Preparation Top Side of DUT Ultra Tec ASAP-1 Bottom Side of DUT 8

Challenges for Testing Software is new place and route is not optimal yet. Hence, it is difficult to get high speed without manual placement. We did not perform manual placement. Microsemi reports that devices show TID tolerance up to 160Krads. Although, when testing with heavy-ions, dose tolerance will be much higher. TID limits the amount of testing per device. Number of devices are expensive and are limited for radiation testing. A large number of tests are required. We will always need more parts. Current consortium participants: NEPP Aerospace JPL Potential: ESA TID: total ionizing dose 9

Summary of Test Structures and Operation Windowed Shift Registers (WSRs): All designs contained four separate WSR chains. Chains either had 0 inverters, 4 inverters, 8 inverters, or 16 inverters. Resets were either synchronous or asynchronous. Input data patterns varied: checkerboard, all 1 s, and all 0 s. Counter Arrays: Resets are synchronous. 200 counters in one array. Two full arrays (400 counters total) in each DUT. Frequency was varied for all designs. All DFFs were connected to a clock that was routed via RTG4 hard global routes (CLKINT or CLKBUF). This was verified by CAD summary output and visual schematic-output inspection. 10

Windowed Shift Registers (WSRs): Test Structure 11

Windowed Shift Registers (WSRs): Each DUT Contains 4 WSR Chains Chain 0 4-bit Window Chain 1 4-bit Window Chain 2 Tester 4-bit Window Chain 3 4-bit Window 12

Microsemi RTG4 Clock Conditioning Circuit (CCC) FDDR: Double Data Rate Interface Control; SERDES: Serial-De-serializer; POR: Power on reset; PLL: Phase locked loop; GBn: global network; DGBIO: dedicated global I/O pad. User can connect: From DGBIO pad to CLKINT, FROM DGBIO pad to CCC-PLL to CLKINT, From DGBIO pad to CLKBUF, From normal input to CLKINT, From normal input to CCC-PLL to CLKINT. CLKBUF: Hardened global route. Input can only be a DGBIO pad. CLKINT: Hardened global route. Input can come from fabric or any input. Figure is Courtesy of Microsemi Corporation. 13

Asynchronous Assert Synchronous De- Assert Resets (AASD) AASD is the traditional method of reset implementation in NASA driven systems. This is a requirement for the protection of a mission in case of lossof-clock. Synchronization is performed prior to clock tree connection. The AASD global reset is connected to the asynchronous pin of each DFF, however, it is synchronized to the clock and is hence synchronous. Logic 1 RESET Clock Tree Buffer To Asynchronous DFF input pin Rev B tests implemented pure AASD via asynchronous reset tree connections to DFFs. AASD was not used in Rev C designs. Rev C designs use a pure synchronous reset. 14

List of WSR Implementations: Design Variations on the Clock Path Clock input to the DUT is either a dedicated clock I/O (DGBIO) or a normal I/O. All clocks are placed on a clock tree. The clock tree is either a CLKINT or a CLKBUF. All DFF data inputs are either in a normal state or contain an SET filter. DGBIO or IO CLKINT or CLKBUF IO Synchronizer CLK C SET filter or no SET filter D R Q RESET CLKINT 15

List of WSR Implementations: Design A: 4 clk 4 rst Design has WSR 0, WSR 4, WSR 8, WSR 16 with 800 stages each. All clocks are connected to CLKINT. Only WSR 0 has a DGBIO. Each WSR chain has it s own synchronized reset. Rev B used a mixture of AASD and pure synchronous resets. Rev C used only pure synchronous resets DGBIO CLKINT IO Synchronizer WSR 0 Clk and Reset Connections CLK C D R Q RESET IO CLKINT IO Synchronizer WSR 4,WSR 8, and WSR 16 Clk and Reset Connections CLK C D R Q RESET CLKINT CLKINT 16

List of WSR Implementations: Design B: 4 clk 4 rst FILTER Design has WSR 0, WSR 4, WSR 8, WSR 16 with 800 stages each. All clocks are connected to CLKINT. Only WSR 0 has a DGBIO. Each WSR chain has it s own synchronized AASD reset. SET Filter is active on every DFF in all WSR chains. Only implemented in Rev C with synchronous resets. DGBIO CLKINT IO Synchronizer WSR 0 Clk and Reset Connections CLK C D R Q RESET IO CLKINT IO Synchronizer WSR 4,WSR 8, and WSR 16 Clk and Reset Connections CLK D C R Q RESET CLKINT CLKINT 17

List of WSR Implementations: Design C: 4 clk 4 rst Direct CLKBUF Design has WSR 0, WSR 4, WSR 8, WSR 16 with 800 stages each. All clocks are connected to CLKBUF. All WSR chains have a DGBIO. Each WSR chain has it s own synchronized AASD reset. SET Filter is active on every DFF in all WSR chains. Only implemented in Rev C with synchronous resets. DGBIO All WSRs: Clk and Reset Connections CLKBUF CLK D Q C R RESET IO Synchronizer CLKINT 18

20,000 stage WSRs. List of WSR Implementations: Design D: Large shift register DUT has 4 chains of WSR 0 (i.e., no inverters between DFF stages): Chain 0, Chain 1, Chain 2, Chain 3. All clocks are connected to CLKINT. Only Chain0 has a DGBIO. No resets are used. DGBIO Chain 0 Clk and Reset Connections IO Chain 1,Chain 2, and Chain 3 Clk and Reset Connections CLKINT CLK C D R Q CLKINT CLK C D R Q 19

List of WSR Implementations: Design E: Large shift register FILTER 20,000 stage WSRs. DUT has 4 chains of WSR 0 (i.e., no inverters between DFF stages): Chain 0, Chain 1, Chain 2, Chain 3. All clocks are connected to CLKINT. Only Chain 0 has a DGBIO. No resets are used. SET Filter is active on every DFF in all WSR chains. DGBIO Chain 0 Clk and Reset Connections IO Chain 1,Chain 2, and Chain 3 Clk and Reset Connections CLKINT CLK D C R Q CLKINT CLK D C R Q 20

List of WSR Implementations: Design F: Large shift register CCC 20,000 stage WSRs. DUT has 4 chains of WSR 0 (i.e., no inverters between DFF stages): Chain 0, Chain 1, Chain 2, Chain 3. All clocks are connected to output of the CCC block. All clock inputs are directly connected to a DGBIO. No resets are used. SET Filter is active on every DFF in all WSR chains. DGBIO All Chains: Clk and Reset Connections CCC Block: PLL is 1:1 CLK D C R Q 21

Design Summary of WSR Designs Under Test Design Name CLK I/O Pin A 4 CLK 4 RST WSR 0 :DGBIO Others: I/O B 4 CLK 4 RSTFILTER C D E F 4 CLK 4 RST Direct CLKBUF Large Shift Register Large Shift Register FILTER Large Shift Register CCC WSR 0 :DGBIO Others: I/O All DGBIO Chain 0 :DGBIO Others: I/O Chain 0 :DGBIO Others: I/O All DGBIO Clock Buffer All CLKINT All CLKINT All CLKBUF All CLKINT All CLKINT All Through CCC Reset Number of Stages All CLKINT 800 OFF All CLKINT 800 ON All CLKINT 800 ON None 20,000 OFF None 20,000 ON None 20,000 ON Designs D and E are large versions of A and B implemented with only WSR 0 s for statistics. SET Filter 22

WSRs: Frequency of Operation and Data Patterns Halt Operation: Data patterns: checkerboard, all 1 s, all 0 s. Registers are loaded with a data pattern while beam is turned off. Beam is turned on while clocks are static (however, registers are still enabled). Beam is turned off and the tester reads out registers. Only performed on shift register test structures. Dynamic Operation: Data patterns: checkerboard, all 1 s, all 0 s. Shift register frequency of operation will be varied from 2KHz to 160MHz. Data pattern and frequency are selected and operation is active prior to turning on beam. Beam is turned on; SEUs are collected real-time; and SEU data is timestamped. 23

Counter Arrays DUT contains two sets of the following: 200 8-bit counters 200 8-bit snapshot registers All counters and snapshot registers are connected to the same clock tree and RESET. The clock tree is fed by the CLK input from the LCDT. DUT CLK is connected to a DGBIO and a CLKBUF. The LCDT sends a clock and a reset to the DUT. The controls are set by the user 2 sets of counter arrays are tested simultaneously 24

Microsemi RTG4 Test Conditions Temperature range: Room temperature Facility: Texas A&M. Performed December 2015, March 2016, and May 2016. NEPP Low Cost Digital Tester (LCDT) and custom DUT board.. LET: 1.8 MeVcm 2 /mg to 20.6 MeVcm 2 /mg. 25

Block Diagram of RTG4 Test Environment LCDT DUT 26

Characterizing Single Event Upsets (SEUs): Accelerated Radiation Testing and SEU Cross Sections SEU Cross Sections (σ seu ) characterize how many upsets will occur based on the number of ionizing particles to which the device is exposed. # errors σ seu = fluence Terminology: Flux: Particles/(sec-cm 2 ) Fluence: Particles/cm 2 σ seu is calculated at several linear energy transfer (LET) values (particle spectrum) 27

Accelerated Test Results 28

Configuration 29

Configuration Re-programmability During this test campaign, tests were only performed up to an LET of 20.6MeVcm 2 /mg. Higher LETs will be used during future testing. No re-programmability failures were observed up to an LET of 20.6MeVcm 2 /mg when within particle dose limits. 30

WSRs 31

Halt Accelerated Tests LET=20.6 MeV*cm 2 /mg the test fluence was 1.0e 7 particles/cm 2 ; and LET=5.0 MeV*cm 2 /mg the test fluence was 2.0e 7 particles/cm 2. Designs are held in a static state because the clock is suspended. Upsets are expected to come from a clock tree, reset tree, or an internal DFF SEU. Clock SET can capture data that is sitting at a DFF input pin. Upsets are not expected to come from the reset tree with Rev C tests. Why not Rev C reset SETs? All resets are placed on the synchronous tree. It would take a clock SET and a reset SET for a reset SET to be captured. With AASD designs (Rev B), upsets can originate in the reset tree. 32

Halt Accelerated Tests: DFFs No internal DFF upsets were observed. No SEUs were observed on any of the chains that were connected to a DGBIO and a CLKBUF pair. SET filters did not make a difference. This is as expected because data-path SETs cannot be captured (DFFs are not clocked). All chains of WSRs: No SEUs were observed with All 1 s and All 0 s tests. This is as expected because, when a clock glitches, the same data value is captured. SEUs were not observed until an LET=20.6 MeV*cm 2 /mg for all 4 clk 4 rst design variations. SEUs were observed at LET = 5.7 MeV*cm 2 /mg for Long Shift Reg. 33

Rev B Reset Evaluation: 4 clk 4 rst σ SEU (cm 2 /DFF) 1.00E-07 1.00E-08 1.00E-09 1.00E-10 1.00E-11 Synchronous Reset Driven Shift Registers: σ SEU per LET WSR0 160MHz Checkerboard Pattern 0 5 10 15 20 25 LET MeVcm 2 /mg Both AASD and synchronous reset are on hardened clock trees. σ SEU (cm 2 /DFF) WSR4 160MHz WSR8 160MHz WSR0 100MHz WSR4 100MHz WSR8 100MHz WSR16 100MHz WSR0 10MHz WSR4 10MHz WSR8 10MHz WSR16 10MHz 1.00E-07 1.00E-08 1.00E-09 1.00E-10 Synchronous versus AASD Insignificant difference between SEU cross-sections. AASD Reset Driven Shift Registers: σ SEU per LET Checkerboard Pattern WSR0 10MHz WSR4 10MHz WSR8 10MHz WSR16 10MHz WSR0 100MHz WS4 100MHz WSR8 100MHz WSR16 100MHz WSR0 160MHz 1.00E-11 0 10 20 30 LET MeVcm 2 /mg WSR4 160MHz WSR8 160MHz 34

Rev C: 4 CLK 4 RST FILTER versus LET at 100MHz 7.00E-09 Add combinatorial logic, increase cross section. σ SEU (cm 2 /DFF) 6.00E-09 5.00E-09 4.00E-09 3.00E-09 2.00E-09 1.00E-09 0.00E+00 How and what you test makes a big difference! 0 5 10 15 20 25 LET MeV*cm 2 /mg WSR16 Checkerboard WSR8 Checkerboard WSR4 Checkerboard WSR0 Checkerboard WSR16 All 1's WSR8 All 1's WSR4 All 1's WSR0 All 1's WSR16 All 0's WSR8 All 0's WSR4 All 0's WSR0 All 0's 35

σ SEU cm 2 /DFF) Comparing WSR Chains: 4 clk 4 rst with Filter and 4 clk 4 rst 100MHz with 3.50E-08 3.00E-08 2.50E-08 2.00E-08 1.50E-08 1.00E-08 5.00E-09 0.00E+00 LET = 20.6MeVcm 2 /mg Chekerboard All 1's All 0's Clear improvement with use of SET filter with high LET. WSR16 4 clk 4 rst filter WSR8 4 clk 4 rst filter WSR4 4 clk 4 rst filter WSR0 4 clk 4 rst filter WSR16 4 clk 4 rst WSR8 4 clk 4 rst WSR4 4 clk 4 rst WSR0 4 clk 4 rst SET Filter is still working at 20.6MeV*cm 2 /mg but not at full strength still observe upsets at All 0 s. 36

σ SEU (cm 2 /DFF) 4 Clk 4 rst Direct CLKBUF SEU Cross Sections versus Frequency at LET = 20.6 MeVcm 2 /mg Data across LET was not able to be taken because of limited test time with this design. 8E-09 7E-09 6E-09 5E-09 4E-09 3E-09 2E-09 1E-09 0 0 50 100 150 Frequency MHz WSR16: Checkerboard WSR16 : All 1's WSR16 All 0's WSR8: 4 checkerboard WSR8: All 1's WSR8: All 0's WSR4: Checkerboard WSR4: All 1's WSR4: All 0's WSR0: checkerboard WSR0: All 1's WSR0: All 0's DFFs are hardened well. SEUs are coming from captured SETs in the data-path. As frequency increases, SEU cross-sections increase. As the number of CL gates increase, SEU cross-sections increase. Upsets occur with All 1 s and All 0 s. (Can t be from a clock must be data-path). SET filter works but is not at full strength at LET= 20.6MeV*cm 2 /mg. 37

Comparing 4 clk 4 rst DUT Variations: How much Better Is A Direct Connection to CLKBUF 3.50E-08 WSR16 at 100MHz LET=20.6 Comparisons and/or A SET Filter? 3.50E-08 WSR0 at 100MHz LET=20.6 Comparisons σ SEU (cm 2 /mg) 3.00E-08 2.50E-08 2.00E-08 1.50E-08 1.00E-08 4 clk 4 rst direct clkbuf 4 clk 4 rst FILTER 4 clk 4 rst σ SEU (cm 2 /mg) 3.00E-08 2.50E-08 2.00E-08 1.50E-08 1.00E-08 4 clk 4 rst direct clkbuf 4 clk 4 rst FILTER 4 clk 4 rst 5.00E-09 5.00E-09 0.00E+00 0.00E+00 WSR 16 Pattern WSR 16 has higher probability of data-path SET generation. Direct/fil ter Direct/n o filter Checker 0.96 0.28 All 1 s 1.24 0.26 All 0 s 0.88 0.33 Tables represent Ratios of SEU cross sections. WSR 0 Pattern Direct/fil ter Direct/n o filter Checker 1.1 0.47 All 1 s 1.0 0.007 All 0 s 1.0 0.025 38

Introducing Large WSRs (1): Comparison of WSR 0 SEU Cross Sections at 100MHZ at LET = 4.50E-09 4.00E-09 20MeV*cm 2 /mg Only design that contains PLL. PLL is unmitigated. σ SEU (cm 2 /DFF) 3.50E-09 3.00E-09 2.50E-09 2.00E-09 1.50E-09 1.00E-09 5.00E-10 Large Shift Reg CCC 4 clk 4 rst Direct CLKBUF 4 clk 4rst FILTER 4 clk 4 rst Large Shift Reg FILTER 0.00E+00 Checkerboard ALL 1'S ALL 0'S Can only compare WSR 0 chains because Large Shift Reg only contains WSR 0 s. As expected 4 clk 4 rst has the worst SEU performance. It is the only design in this graph with no SET filters. 4 clk 4 rst Direct CLKBUF has the best SEU performance. There is a direct connect from the DGBIO to the CLKBUF. 39

Introducing Large WSRs (2): Comparison of WSR 0 SEU Cross Sections at 100MHZ at LET = 20MeV*cm 2 /mg Checkerboard pattern: all designs have observable SEU cross-sections. All 1 s: 4 clk 4 rst Direct CLKBUF and Large Shift Reg FILTER have negligible SEU cross-sections. All 0 s: Only 4 clk 4 rst (no filter) and Large Shift Reg CCC (PLL) have observable SEU cross-sections. Using the PLL reduces the effectiveness of using an SET filter. 40

WSR Accelerated Radiation Test Data Observations SEUs can originate in clocks trees, reset trees (not with long shiftregs), and data paths. In Rev C, resets are connected via the synchronous tree and reset SETs would require a clock edge capture. WSR 0 s: When only analyzing all 1 s or all 0 s, clock SEUs are masked. With WSR 0, no SEUs were observed on chains with filters. Only the designs with no filter have observable SEU crosssections. In addition, there is less probability of SET capture because of little to no CL in the data-path. Adding the analysis of checkerboard, all WSR 0 s have observable SEUs. This suggests, for WSR 0, that most of the checkerboard upsets are coming from the clock or reset tree (global routes). Why does an SET filter make a difference with WSR 0 s? SEUs should not come from the data-path because there are no combinatorial logic between DFF stages. There are probably some hidden connection buffers in the shift register chains. 41

WSR Accelerated Radiation Test Data Observations In some tests, WSR input pattern of All 1 s had greater SEU cross sections than WSR input pattern of checkerboard. This only occurred with designs that used resets. Most likely the reset was the cause. The use of resets in a synchronous design is imperative. This observation must not change the rules for reset implementation. Connecting from a DGBIO to a CLKBUF versus a normal I/O to a CLKINT did not provide significant improvement in SEU cross sections. Connecting from a DGBIO to a CCC-PLL into a CLKINT did not improve SEU cross sections. It actually had higher SEU cross sections. However, the performance is most likely acceptable because there is a PLL in the path. 42

Counters 43

Counter SEU cross-sections are lower than the corresponding (i.e., with filter or without) WSRs with checkerboard. Only counter-bits that change at the frequency of a checkerboard are bit-0 of each counter. As the bit-number of each counter increases, the bit frequency is decreased by a factor of 2. Once again, the SET filter makes a significant difference. Counters were tested at 1MHz, 5MHz, 10MHz, and 50MHz. Upsets were not observed below 50MHz below an LET of 20MeV*cm 2 /mg. Additional testing is required. Rev C Counter Arrays Rev C Counter Arrays Single Bit σ SEU s: without SET Filter versus with SET Filter at 50MHz 3.0E-09 2.5E-09 2.0E-09 1.5E-09 1.0E-09 5.0E-10 0.0E+00 8.76 21.42 σ SEU (cm 2 /dff) Counter WITHOUT SET Filter Counter WITH SET Filter LET MeV*cm 2 /mg 44

Rev C versus Rev B Counter Arrays 3.00E-09 2.50E-09 Rev B Counter Array Single Bit σ SEU s at 50MHz Counter without SET FILTER Rev C Counter Arrays Single Bit σ SEU s: without SET Filter versus with SET Filter at 3.0E-09 2.5E-09 50MHz Counter WITHOUT SET Filter Counter WITH SET Filter σ SEU (cm 2 /DFF) 2.00E-09 1.50E-09 1.00E-09 σ SEU (cm 2 /dff) 2.0E-09 1.5E-09 1.0E-09 5.00E-10 5.0E-10 0.00E+00 5.7 8.1 20.6 LET MeVcm 2 /mg 0.0E+00 Rev B counters did not contain SET filters. 8.76 21.42 LET MeV*cm 2 /mg Rev B and Rev C counters with no SET filters have compatible crosssections. Rev C cross-sections are slightly lower because of improvements from Microsemi. 45

NEPP: ProASIC3 Accelerated Heavy-ion Test Results RTG4 shows an improvement over ProASIC3 in functional data path. 46

Cross Section (cm 2 /bit) σ SEU (cm 2 /DFF) RTAX4000D and RTAX2000 WSRs at 80MHz with Checkerboard Pattern 1.00E-06 1.00E-07 1.00E-08 1.00E-09 1.00E-10 RTAX4000D and RTAX2000 have better SEU performance than RTG4 (higher LET on-set ; and slightly lower σ SEUs ); but not by much. RTAX4000D WSR8I RTAX4000D WSR 8 RTAX4000D WSR 0 RTAX4000D WSR0 1.00E-11 1.00E-12 RTAX2000 WSR 8 RTAX2000v2 WSR8I RTAX2000 WSR 0 RTAX2000v2 WSR0_0 0 20 40 60 80 LET (MeV*cm 2 /mg) 47

Future Work DUT Test structures: Additional counter array tests (will try for higher frequencies). Embedded SRAM (LSRAM and μsram). I/O evaluation: Multiprotocol 3.125Gbit SERDES. Space wire interface block. DDR controllers. Embedded microprocessors. Math logic blocks (DSP blocks). Additional CCC block testing. Multiple test structures will be implemented in a DUT and tested simultaneously. Saves time. Reduces the number of devices needed for testing. Preliminary Rev B test report is finished. Preliminary Rev C test report October 2016. CCC: Clock Conditioning Circuit DSP: Digital signal processing DDR: double data rate memory SERDES: serial high speed interface 48

Acknowledgements Some of this work has been sponsored by the NASA Electronic Parts and Packaging (NEPP) Program and the Defense Threat Reduction Agency (DTRA). NASA Goddard Radiation Effects and Analysis Group (REAG) for their technical assistance and support. REAG is led by Kenneth LaBel and Jonathan Pellish. Aerospace and JPL participation and support for accelerated radiation testing. Microsemi for their support and guidance. Contact Information: Melanie Berg: NASA Goddard REAG FPGA Principal Investigator: Melanie.D.Berg@NASA.GOV 49