International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P14 ISSN Online:

Similar documents
the main limitations of the work is that wiring increases with 1. INTRODUCTION

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology

Design and Characterization of High Speed Carry Select Adder

High Speed Han Carlson Adder Using Modified SQRT CSLA

An Efficient Hybrid Parallel Prefix Adders for Reverse Converters using QCA Technology

AN EFFICIENT REVERSE CONVERTER DESIGN VIA PARALLEL PREFIX ADDER

DESIGN AND ANALYSIS OF COMPETENT ARITHMETIC AND LOGIC UNIT FOR RISC PROCESSOR

DESIGN OF HYBRID PARALLEL PREFIX ADDERS

VLSI Arithmetic Lecture 6

Low-Area Low-Power Parallel Prefix Adder Based on Modified Ling Equations

DESIGN AND IMPLEMENTATION 0F 64-BIT PARALLEL PREFIX BRENTKUNG ADDER

Srinivasasamanoj.R et al., International Journal of Wireless Communications and Network Technologies, 1(1), August-September 2012, 4-9

Designing and Characterization of koggestone, Sparse Kogge stone, Spanning tree and Brentkung Adders

A Taxonomy of Parallel Prefix Networks

Design and Implementation of High Performance Parallel Prefix Adders

Implementation of Ripple Carry and Carry Skip Adders with Speed and Area Efficient

IMPLEMENTATION OF TWIN PRECISION TECHNIQUE FOR MULTIPLICATION

1. Introduction. Raj Kishore Kumar 1, Vikram Kumar 2

Design of High Speed Modulo 2 n +1 Adder

International Journal of Scientific & Engineering Research, Volume 4, Issue 10, October ISSN

Performance of Constant Addition Using Enhanced Flagged Binary Adder

A Unified Addition Structure for Moduli Set {2 n -1, 2 n,2 n +1} Based on a Novel RNS Representation

Non-Heuristic Optimization and Synthesis of Parallel-Prefix Adders

Multi-Modulus Adder Implementation and its Applications

Power Optimized Programmable Truncated Multiplier and Accumulator Using Reversible Adder

Part 1-2. Translation of Netlist to CNF

High Throughput Radix-D Multiplication Using BCD

Analysis and Design of High Performance 128-bit Parallel Prefix End-Around-Carry Adder

Design of Efficient VLSI Arithmetic Circuits

Design and Implementation of Adder for Modulo 2 n +1 Addition

ISSN Vol.08,Issue.12, September-2016, Pages:

Design of Delay Efficient Distributed Arithmetic Based Split Radix FFT

Lecture 19: Arithmetic Modules 14-1

High Speed Multiplication Using BCD Codes For DSP Applications

Improved Design of High Performance Radix-10 Multiplication Using BCD Codes

HIGH PERFORMANCE FUSED ADD MULTIPLY OPERATOR

A Novel Carry-look ahead approach to an Unified BCD and Binary Adder/Subtractor

VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier

A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter

Design and Implementation of CVNS Based Low Power 64-Bit Adder

International Journal of Computer Trends and Technology (IJCTT) volume 17 Number 5 Nov 2014 LowPower32-Bit DADDA Multipleir

University, Patiala, Punjab, India 1 2

Design and Implementation of Parallel Prefix Adder for Improving the Performance of Carry Lookahead Adder

Efficient Radix-10 Multiplication Using BCD Codes

Implementation of Efficient Modified Booth Recoder for Fused Sum-Product Operator

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER

An FPGA based Implementation of Floating-point Multiplier

Design and Verification of Area Efficient High-Speed Carry Select Adder

Design and Implementation of Signed, Rounded and Truncated Multipliers using Modified Booth Algorithm for Dsp Systems.

MODULO 2 n + 1 MAC UNIT

Lecture 5. Other Adder Issues

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

Design of Two Different 128-bit Adders. Project Report

High Performance and Area Efficient DSP Architecture using Dadda Multiplier

Fused Floating Point Arithmetic Unit for Radix 2 FFT Implementation

JOURNAL OF INTERNATIONAL ACADEMIC RESEARCH FOR MULTIDISCIPLINARY Impact Factor 1.393, ISSN: , Volume 2, Issue 7, August 2014

Delay Optimised 16 Bit Twin Precision Baugh Wooley Multiplier

Analysis of Radix- SDF Pipeline FFT Architecture in VLSI Using Chip Scope

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

OPTIMIZATION OF AREA COMPLEXITY AND DELAY USING PRE-ENCODED NR4SD MULTIPLIER.

Design of Delay Efficient Carry Save Adder

Figure 1. An 8-bit Superset Adder.

Volume 5, Issue 5 OCT 2016

DESIGN OF RADIX-8 BOOTH MULTIPLIER USING KOGGESTONE ADDER FOR HIGH SPEED ARITHMETIC APPLICATIONS

Implementation of 32-Bit Wave Pipelining Sparse Tree Adders

Parallel-Prefix Adders Implementation Using Reverse Converter Design. Department of ECE

Area Delay Power Efficient Carry-Select Adder

Compound Adder Design Using Carry-Lookahead / Carry Select Adders

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE.

Implementation of Double Precision Floating Point Multiplier on FPGA

Fused Floating Point Three Term Adder Using Brent-Kung Adder

OPTIMIZING THE POWER USING FUSED ADD MULTIPLIER

Implementation of Double Precision Floating Point Multiplier Using Wallace Tree Multiplier

Arithmetic Circuits. Nurul Hazlina Adder 2. Multiplier 3. Arithmetic Logic Unit (ALU) 4. HDL for Arithmetic Circuit

Design of an Efficient 128-Bit Carry Select Adder Using Bec and Variable csla Techniques

VLSI Design Of a Novel Pre Encoding Multiplier Using DADDA Multiplier. Guntur(Dt),Pin:522017

Area-Delay-Power Efficient Carry-Select Adder

An Efficient Fused Add Multiplier With MWT Multiplier And Spanning Tree Adder

DESIGN OF QUATERNARY ADDER FOR HIGH SPEED APPLICATIONS

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume VI /Issue 3 / JUNE 2016

An Efficient Design of Sum-Modified Booth Recoder for Fused Add-Multiply Operator

Low Power and Memory Efficient FFT Architecture Using Modified CORDIC Algorithm

ANALYZING THE PERFORMANCE OF CARRY TREE ADDERS BASED ON FPGA S

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies

VHDL IMPLEMENTATION OF FLOATING POINT MULTIPLIER USING VEDIC MATHEMATICS

Implementation of 64-Bit Kogge Stone Carry Select Adder with ZFC for Efficient Area

A Novel Design of 32 Bit Unsigned Multiplier Using Modified CSLA

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

Binary Arithmetic. Daniel Sanchez Computer Science & Artificial Intelligence Lab M.I.T.

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume VII /Issue 2 / OCT 2016

Performance Analysis of 64-Bit Carry Look Ahead Adder

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

Simulation Results Analysis Of Basic And Modified RBSD Adder Circuits 1 Sobina Gujral, 2 Robina Gujral Bagga

AN IMPROVED FUSED FLOATING-POINT THREE-TERM ADDER. Mohyiddin K, Nithin Jose, Mitha Raj, Muhamed Jasim TK, Bijith PS, Mohamed Waseem P

International Journal of Innovative Research in Computer Science & Technology (IJIRCST) ISSN: , Volume-3, Issue-5, September-2015

ISSN (Online)

An Optimized Montgomery Modular Multiplication Algorithm for Cryptography

A High Speed Design of 32 Bit Multiplier Using Modified CSLA

DESIGN AND IMPLEMENTATION OF MODULO ADDER USING VERILOG HDL IN FPGA TECHNOLOGY

Transcription:

DESIGN OF ERROR FREE PARALLEL PREFIX ADDER USING HAN CARLSON ADDER #1 AKKATAVELLI MANISHA, M.Tech student, #2 R.SHIVASHENKAR, Assistant Professor, #3 S.BALAIAH, Associate Professor (Pursuing Phd), Dept of ECE, SRI VENKATESWARA ENGINEERING COLLEGE, SURYAPET, NALGONDA, TELANGANA, INDIA. ABSTRACT: Binary addition is one of the most important arithmetic functions in modern digital VLSI systems. Adders are extensively used as DSP lattice filter where the ripple carry adders are replaced by the parallel prefix adder to decrease the delay. The requirement of the adder is fast and secondly efficient in terms of power consumption and chip area. Speculative variable latency adders have attracted strong interest thanks to their capability to reduce average delay compared to traditional architectures. This paper proposes a novel variable latency speculative adder based on Han-Carlson parallel-prefix topology that resulted more effective than variable latency Kogge-Stone topology. The paper describes the stages in which variable latency speculative prefix adders can be subdivided and presents a novel error detection network that reduces error probability compared to variable latency adder. Keywords: Parallel Prefix Adder, Kogge Stone Adder, Han-Carlson Adder. I.INTRODUCTION VLSI binary adders are critically important elements in processor chips, they are used in floating-point arithmetic units, ALUs, and memory addresses program counter update and magnitude comparator. Adders are extensively used as a part of the filter such as DSP lattice filter. Ripple carry adder is the fundamental adder that is capable of performing binary number addition. Since its latency is proportional to the length of its input operands, it is not very useful. To speed up the addition, carry look ahead adder is introduced. Parallel prefix adders provide good results as compared to the conventional adder[1]. Parallel Prefix Adder includes Brent-Kung [2], Kogge-Stone [3]. The architecture operates at fixed latency. It proposes a novel variable latency speculative adder based on Han- Carlson parallel-prefix topology. The Han-Carlson topology uses one more stage than Kogge-Stone adder, while requiring a reduced number of cells and simplified wiring. Thus, it can achieve similar speed performance compared to Kogge-Stone adder, at lower power consumption and area. We show that a speculative carry tree can be obtained by pruning some intermediate levels of the classical Han- Carlson topology. It provides rigorous derivation of the error detection network and shows that the error detection network required in speculative Han-Carlson adders is significantly faster than the one used by speculative Kogge- Stone architecture. The main aim in ALU design is to reduce the adder critical path, which decides execution time in terms of delay(6.133ns) and power(35%). These two factors are most essential in adder design[4]. Parallel prefix adder design is most preferable for their higher speed of operation. There are different algorithms are used in process of addition. They main aim on improvising the performance of Parallel Prefix Adder (PPAs)by optimizing performance parameters such as Speed, Power, Area and number of gate counts. There are various topologies of prefix adders are there, they give the comparisons among the various parallel tree adders. By using variable latency speculative adder, kogge stone speculative adder produces 45% of area is reduced and 35% of power is saved compared to kogge stone non- speculative adder. The kogge stone adder uses the minimum number of logic levels and has fan-out of 2[5,7]. These tree structures validate the benefits of each one of them with the other by making use of the performance parameters[8]. The various tree structures are mentioned in the literature based on area(45%), fanout-2 and complexity in circuit design. [9]In case of the Kogge-Stone, it uses recursive doubling property which leads to the fan-out limited to unity at the each stage of carry merge[10]. VLSI, so the design is modularized by breaking it into trees of smaller and faster adders which are more readily implemented. For large adders the delay of passing the carry through the look-ahead stages becomes dominated and therefore tree adders or parallel prefix adders are used. High speed adders depends on the previous carry to generate the present sum. In integer addition any decrease in delay will directly relate to an increase in throughput. In nanometer range, it is very important to develop addition algorithm that provide high performance while reducing power. Parallel prefix adders are suitable for VLSI implementation since they rely on the use of simple cells and maintain regular connection between them. We can define each prefix structures in terms of logic levels, fanout and wiring tracks. Zero or more inverters are added to each prefix cell output Paper Available @ ijgis.com SEPTEMBER/2016 Page 77

to minimize the delay based on this model, buffers are individually sized to minimize the delay, buffers are used to minimize the fanout and loading on gates since high fanout causes poor performance. A modified Han-Carlson adder uses fewer number of prefix operations by adjusting the number of stages amongst Kogge-Stone and Brent-kung adder and thus reduces the area required by the adder circuitry [4]. II.PREVIOUS WORK The different types of parallel prefix adders available are Kogge-Stone adder, Brent-kung adder, Sklansky adder, Han-Carlson adder, Knowles adder and Ladner-Fischer adder. These adders offer a tradeoffs among the number of stages of logic, the number of logic gates, fanout and amount of wiring between stages. Kogge-Stone adder, Brent-kung adder and Sklansky adder are the fundamental adders. Brent-Kung uses minimal number of computation nodes which yields in reduced area but structure has maximum depth which yields slight increase in latency. Slansky reduces the delay at the expense of increased fanout. Kogge-Stone achieves high speed and low fanout but produces complex circuitry with more numbers of wiring tracks[5]. The Knowles trees are family of network between betweenkogge-stone and Sklansky with increased fanout. Ladner Fischer introduced a network between Sklansky and Brent-Kung which provides a tradeoffs between logic levels and fanout. T. Han and D.A. Carlson presented a hybrid construction of a parallel prefix adder using two designs the Kogge-Stone construction having the best feature of higher speed and the Brent-kung construction with best feature of low area requirement. A modified Han-Carlson adder uses fewer number of prefix operations by adjusting the number of stages amongst Kogge-Stone and Brent-kung adder and thus reduces the area required by the adder circuitry. Fig 2.below shows a 3-dimentional taxonomy of tree adders [6]. There are three axis representing the fanout, wiring tracks and logic levels and each tree is indicated by three integers (l, f, t) in the range [0, L-1]. The tree adders lie on the plane l + f + t = L-1, where L= log2n and indicates the number of bits. Brent-Kung, Kogge-Stone and Sklansky represent the vertices of the cube (3, 0, 0),(0, 0, 3) and (0, 3, 0) respectively. Han-Carlson, Ladner-Fischer and Knowles lie along the diagonals. Where N indicates the number of bits the variables l, f, and t are integers in the range [0, L 1] indicating: Logic Levels: L+ l Fanout: 2f+1 Wiring Tracks: 2 III. HAN-CARLSON ADDER The Han-Carlson adder is a blend of the Brent-Kung and Kogge-Stone adders. It uses one Brent-Kung stage at the beginning followed by Kogge-Stone stages, terminating with another Brent-Kung stage to compute the odd numbered prefixes. It provides better performance compared to Kogge-Stone for smaller adders. Grapical Representation Of Han Carlson Adder Han Carlson Adder Carry Length (K=16) The Han-Carlson is the family of networks between Kogge- Stone and Brent-Kung. Han-Carlson adder can be viewed of Kogge-Stone adder. This adder is different from Kogge- Stone adder in the sense that these performs carry-merge operations on even bits and generate/propagate operation on odd bits. At the end, these odd bits recombine with even bits carry signals to produce the true carry bits. This adder has five stages in which the middle three stages resembles with the Kogge-Stone structure. The advantage of the adder is that it uses much less cells and its shorter. Thus there is a reduction in complexity at the cost of an additional stage for carry-merge path. We have generated a Han- Carlson Speculative Prefix Processing stage by deleting the last rows of the kogge stone adder. This yields a speculative stage with k=8=n/2^p, where p is the number of pruned levels. Paper Available @ ijgis.com SEPTEMBER/2016 Page 78

IV. PARALLEL PREFIX ADDER Parallel prefix adders are suitable for VLSI implementation since it differs from other adders, it can be used for large word sizes. The proposed design reduces the number of prefix operation by using more number of Brent-Kung stages and lesser number of Kogge-Stone Stages. This also reduces the complexity, silicon area and power consumption. Parallel Prefix Adder can be subdivided in the following stages: Pre-Processing, Post Processing, Error Detection and Error Correction. The Error Correction Stage is Off the critical path, as it has two clock cycles to obtain the exact sum when speculation fails. The Pre-Processing and Post-Processing Stages of a Prefix adder involve only simple operations on signals to each bit location. Hence, adder performs mainly on Prefix operation. Therefore black dots represent the prefix operator, while white dots represent simple place holders. Speculative Prefix-Processing Instead of computing all the g(i:0)and p(i:0) required to obtain the exact carry values, only a subset of block generate and propagate signals is calculated; block generate and propagate signals is calculated; Han-Carlson adder constitutes a good trade-off between fan out, number of logic levels and number of black cells. Because of this, Han- Carlson adder can Achieve equal speed performance respect to Kogge-Stone adder, at lower power consumption and area. Therefore it is interesting to implement a speculative Han-Carlson adder. V. BLOCK DIAGRAM Pre Processing In the pre processing stage generate (Gi) and propagate (Pi) signals are calculated. Gi= a and b & Pi= a xor b Speculative Prefix Processing Circular cells: for computation of prefix operation Calculations of all carry signals: Gi:j = Gi:k +Pi:k. Gk-1:j Pi:j = Pi:k. Pk-1:j 1. Bit propagate and generate This block implements the following logic: Gi = Ai AND Bi Pi = Ai XOR Bi 2. Group propagate and generate This block implements the following logic: G2 = G1 OR (G0 AND P1) P2 = P1 AND P0 Post Processing In the post processing stage approximate carry values are obtained from this subset and then use them to obtain the approximate sum bits Si as follows: The approximate carries are already available at the output of the prefix-processing stage. The post-processing is equal to the one of a nonspeculative adder and consists of xor gates. Calculation of Final Sum: Square cell structure Error Detection The error detection circuit that flags an error if the sum computed by the Almost Carry Adder is incorrect. This only occurs when there is a chain of more than k propagates in the addenda. To check for the presence of an error, we must consider all chains of length k + 1, and check if any of them contain solely propagates. The expression for error signal is stated as follows: Paper Available @ ijgis.com SEPTEMBER/2016 Page 79

POST-PROCESSING The conditions in which at least one of the approximate carries is wrong (misprediction) are signaled by the error detection stage. In case of misprediction, an error signal is asserted by error detection stage and the output of the postprocessing stage is discarded. Error Correction This stage computes the exact carry signals to be used, in case of misprediction. It is composed by the levels of the prefix, processing stage pruned to obtain the speculative adder. V. RESULTS AND DISCUSSION The proposed Parallel Prefix Adder can be analyzed using Xilinx. It reduces the minimum achievable delay. The analysis of Area and Power shows that speculative adders are not effective for large average delay. At timing constraint imposed during synthesis is made tighter speculative adders become advantageous. ERROR CORRECTION PRE-PROCESSING SPECULATIVE PREFIX PROCESSING VI. CONCLUSION In this paper Han-Carlson adder presented reduction in the complexity and hence provides a tradeoffs for the construction of large adders. These wide adders are useful in applications like cryptography for security purpose, global unique identifiers used as a identifier in computer software and this wide adder also provides good speed. It is used to reduce error by using error correction and detection techniques. It is used to reduce number of prefix operation and reduces complexity. REFERENCES [1]. Darjn Esposito, Davide De Caro, Senior Member,IEEE, Ettore Napoli, Nicola Petra, Member, IEEE and Antonio Giuseppe Maria Strollo, Senior Member, IEEE, Variable Latency Speculative Han-Carlson Adder, May 2015. [2]. R.P.Brent and H.T.Kung, A regular layout of parallel adders,ieee Trans.Comput., Vol C-31, Mar 1982 [3]. P.M.Kogge and H.S.Stone, A Parallel Algorithm for the efficient solution of a general class of recurrence equations, IEEE Trans.comput, vol C-22, Aug 1973. Paper Available @ ijgis.com SEPTEMBER/2016 Page 80

[4]. Cong Liu, Jie Han and Fabrizio Lombardi, A Low Power, High Performance Approximate Multiplier with Configurable Partial Error Recovery,(DATE 14) Apr 2014. [5]. K.Du, P.Varman, and K.Mohanram, High Performance reliable Variable Latency Carry select addition, in Proc. Design, Autom, Test Eur.Conf. Exhib (DATE 12), Mar 2012. [6]. A.Cilardo, A new Speculative addition architecture suitable for two s complement operations, in Proc. Design, Autom, Test Eur, Conf. Exhib.(DATE 09), Apr 2009. [7]. A.K.Verma, P.Brisk, and P.Ienne, Variable Latency Speculative Addition, A New Paradigm for Arithmetic circuit Design, Autom, Test Eur. (DATE 08), Mar 2008. [8]. S-L.Lu, Speeding up processing with Approximation Ciruits, Computer, Mar 2004. [9]. I.Koren, Computer Arithmetic Algorithms, Natick, MA, USA AK Peters, June 2002. [10]. S.Knowles, A Family of Adders, in Proc, 14th IEEE symp. Comput.Arith, Vail, CO, USA, June 2001. [11]. S.K.Mathew, R.K.Krishnamoothy, M.A.Anders, R.Rios, K.R.Mistry, and K.Soumyanath, Sub-500-ps 64-b ALUs, Design and scaling trends, IEEE J.Solid-State Circuits, Nov 2001. [12]. Tong Liu and Shih-Lien Lu, Intel Corporation, Performance Improvement with Circuit-Level Speculation, May 2000. AUTHOR S PROFILE: AKKATAVELLI MANISHA M.Tech Student, Department of ECE. Now studying in Sri Venkateswara Engineering College, Suryapet, Nalgonda, Telangana state, India. Segmentation and Curve Evolution on Natural Images at JNTUH, Hyd. R.SHIVASHENKAR Assistant Professor is currently working as Assistant Professor in SVEC, Suryapet, Nalgonda, Telangana state, India S.BALAIAH earned his B.E. in Electronics and Communication Engineering from MVSR Engineering College(OU).Hyd. He has done M.B.A in Marketing from Aurora PG Centre (OU), Hyd. He has obtained his M.Tech. in Digital Systems and Computer Electronics from JNTUH, Hyd. with distinction. Now He is pursuing his Ph.D. in Variational Image Paper Available @ ijgis.com SEPTEMBER/2016 Page 81