Advancing high performance heterogeneous integration through die stacking

Similar documents
Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Stacked Silicon Interconnect Technology (SSIT)

Xilinx SSI Technology Concept to Silicon Development Overview

The FPGA: An Engine for Innovation in Silicon and Packaging Technology

All Programmable: from Silicon to System

Beyond Moore. Beyond Programmable Logic.

Bringing 3D Integration to Packaging Mainstream

Interposer Technology: Past, Now, and Future

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

TechSearch International, Inc.

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

TechSearch International, Inc.

High Performance Memory in FPGAs

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Advanced Heterogeneous Solutions for System Integration

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Multi-Die Packaging How Ready Are We?

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

3-D Package Integration Enabling Technologies

2.5D FPGA-HBM Integration Challenges

IMEC CORE CMOS P. MARCHAL

Packaging Innovation for our Application Driven World

VISUALIZING THE PACKAGING ROADMAP

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Advanced Packaging For Mobile and Growth Products

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

TechSearch International, Inc.

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

Stacking Untested Wafers to Improve Yield. The 3D Enigma

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

PUSHING THE LIMITS, A PERSPECTIVE ON ROUTER ARCHITECTURE CHALLENGES

TABLE OF CONTENTS III. Section 1. Executive Summary

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

Non-contact Test at Advanced Process Nodes

Future Memories. Jim Handy OBJECTIVE ANALYSIS

Burn-in & Test Socket Workshop

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

BREAKING THE MEMORY WALL

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

PSMC Roadmap For Integrated Photonics Manufacturing

Heterogeneous Integration and the Photonics Packaging Roadmap

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

3D & Advanced Packaging

Physical Implementation

VLSI Design Automation

3DIC & TSV interconnects business update

technology Leadership

Probing 25µm-diameter micro-bumps for Wide-I/O 3D SICs

Reconfigurable Computing

VLSI Design Automation

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Enabling Technology for the Cloud and AI One Size Fits All?

Moore s s Law, 40 years and Counting

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE

SEMI 大半导体产业网 MEMS Packaging Technology Trend

Packaging Technology for Image-Processing LSI

Moving a Generation Ahead with

Multi-Core Microprocessor Chips: Motivation & Challenges

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

HES-7 ASIC Prototyping

inemi Roadmap Packaging and Component Substrates TWG

EE586 VLSI Design. Partha Pande School of EECS Washington State University

3D SoC and Heterogeneous Integrations

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

High Performance Memory Opportunities in 2.5D Network Flow Processors

Five Emerging DRAM Interfaces You Should Know for Your Next Design

3DIC & TSV interconnects

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group

Mobility and Miniaturization 3D WLI Microscopes Address Key Metrology Needs

Chapter 0 Introduction

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System

Thermo Mechanical Modeling of TSVs

3D Technologies For Low Power Integrated Circuits

Industry s Highest Bandwidth FPGA Enables World s First Single-FPGA Solution for 400G Communications Line Cards

September 13, 2016 Keynote

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Comparison of Singulation Techniques

Transcription:

Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013

The First Wave of 3D ICs Perfecting the 3-D chip R Colin Johnson 10/11/2011 10:31 AM EDT You ve heard the hype: The foundation of semiconductor fabrication will be transformed over the next few years as multistory structures rise up from dice that today are planar After almost a decade of major semiconductor engineering efforts worldwide aimed at making the structures manufacturable, three-dimensional ICs are poised for commercialization starting next year several years behind schedule Page 2

Why Now? Market: Insatiable Bandwidth 34% CAGR 64 Exabytes/mo of IP Traffic 2008 2014 Technology: Power Cost, IO 480 Gbps 10GbE, OTU-2 Multi Tera-Bits System (19Tbps) 400GbE/1TbE Power Growing density gap between is primary number limiting of logic factor gates and I/O SOC s & platforms: demand highest 15x drop in I/O-to-logic ratio by 2020 performance/watt Source: ITRS Page 3

What Does 3D Buy Us? Connectivity Logic RAM Capacity Package Substrate Crossovers Page 4

BW / Watt Connectivity Enables High Bandwidth, Low Power Die-to-Die Communication 100x 3D Interconnect 10x SerDes & Standard I/O 1x 10x 100x 1,000x Total Die-to-Die Connections 100x bandwidth/watt advantage over conventional methods Page 5

Die Cost Capacity Beyond Moore s Law Big Single Monolithic Die Multiple Small Die Slices Exponential Dependency Linear Dependency (Bali) Greater capacity, faster yield ramp Page 6 Area

Crossover SoCs with Heterogeneous Die Logic Memory PLD Mixed functions Analog Memory Processor Mixed processes Page 7

CoWoS Process Flow (Courtesy TSMC) Bottom die Top-die Transfer glass to tape carrier Tape Stacking (μbump) Singulation Tape Wafer Molding TIS (Stacking, C4) Build up Subs Carrier bonding carrier carrier TIS (Ring+Lid) Thermal Interface Metal (TIM) Lid Ring B/S grinding carrier Top view Bottom view Side view B/S C4 bumping carrier

OSAT Co(CoS) Process Flow Wafer with TSV u-pad/bump, Probe Dice Carrier Carrier Mount Thin & TSV Reveal UBM & C4-bump Interposer-on- Substrate Carrier De-mount to Film frame Package Page 9 Copyright 2013 2012 Xilinx

Virtex-7 2000T: Homogeneous Stacked Silicon Interconnect (SSI) technology Virtex-7 2000T 2 million logic cells ~2,000 BGA balls ~20,000 C4 bumps ~200,000 ubumps ~68B transistors 4-layer metal Si interposer with TSV 4 FPGA sub-die in package >10,000 inter-die connections Shipping today Page 10

Heterogeneous Integration

What happened to System on a Chip? Logic Memory Analog Global Revenue 2011 $150B $68B $45B Moore Scaling Good Good Poor Technology Vintage 2012 2012 2000 Transistor Characteristics High performance/ Low leakage Low leakage/ moderate performance Stable with good voltage headroom Metallization >9 layers <5 layers <6 layers Differentiators High density logic Charge storage Passives, Optical

What s the problem with multiple packages? The packaging chasm: Two orders difference in package trace/width vs silicon metallization I/O also isn t scaling due to bump pitch and chip to chip loading issues Leads to increased area, power and complexity (SERDES) 100 Pkg via diam Pkg trace width 240 Si 10 mm 1 Package Via Diameter Package Trace Width Chip Top Metal Chip top metal mm 220 200 180 160 Solder Bump Signal Power Cu Pillar ~06 mm ~3 mm ~100 mm 01 2005 2006 2007 2008 2009 2010 140 2005 2006 2007 2008 2009 2010 To scale in X dimension PKG

Virtex-7 HT: Heterogeneous SerDes Top View Cross Section TSVs 28G SerDes Fabric Interface 28G FPGA FPGA FPGA 28G 13G FPGA 13G Passive Interposer 13G FPGA 13G Yield optimized 13G FPGA 13G Noise isolation 28G SerDes Passive Interposer 28G process optimized for performance 28Tb/s ~3X Monolithic 16 x 28G Transceivers 72 x 13G Transceivers 650 GPIO FPGA process optimized for power

Virtex-7 H580T Dual FPGA Slice with 8x28Gb/s Serial Transceivers Virtex-7 HT @ 28Gbps

Interposer Routing & DCAP Wire coupling, no shielding Wire coupling, with shielding 3mm SSN, no DCAP SSN, with DCAP 6mm

SSI Enables Scalable FPGAs XC7VH290T XC7VH580T XC7VH870T GTZ-IC GTZ-IC GTH FPGA GTH GTH GTZ-IC FPGA GTH GTH FPGA GTH GTH FPGA GTH GTH FPGA GTH GTH FPGA GTH GTZ-IC Network 2 x 100G 2 x 100G 1 x 400G or 4 x 100G GTZ (28G) 8 8 16 GTH (13G) 24 48 72 Logic Cells 284K 580K 876K

High Bandwidth Integrated Memory Higher memory bandwidth at lower power 1Tbps 2Tbps ~1Gb/s per interposer wire MAC Bridging FPGA Simple extension of existing work Packet Processing/ Traffic Manager Line Card Fabric Interface FPGA FPGA Die TCAM DDR3 Wired Comms Line Card PKG Bridging FPGA Control Plane CPU Implement in FPGA Implement in ASIC/ASSP

2nd Generation 3D IC Co-optimized for Extra Performance, Power and Integration Homogeneous/heterogeneous 3D 3rd Generation fabric & die architecture Wide memory for high performance buffering 2nd Generation 3DIC Interconnect Cutting Edge Functionality Future XCVR protocol support (56Gb/s) 15x Integration/BOM 15x Logic (3-4x vs 28nm monolithic) More than 5x die-to-die interconnect bandwidth Industry standards interface Page 19

3D TSV-on-Active: The Next Frontier Who s on top? Top die Package lid Microbumps Bottom die Package substrate TSVs C4 balls BGA package balls High performance chip on on top for thermal and TSV process availability Bottom die supports power TSV s for top die (Swiss cheese) in older technology (TSV friendly) Floor-planning critical: Thermal concerns (stacked thermal flux) TSV keep out zones in bottom die to avoid stress induced performance impact TSV-Induced Device Stress

Challenges Cost Wafer backside processing is complicated Device quality wafers used for interposers KGD methodologies still emerging Scalability Micro-bump scaling is limited Super-sized interposers (>30mm x 30mm) Improve TSV aspect ratio Design Support Multi-die analysis without Multi-mode Multi-corner explosion Thermal modeling based on vertical hotspots

Summary Economic and technology forces are aligned to enable 3-D stacking The end game will see three distinct technologies: Logic, Memory, Analog Analog Logic Mem Package Heterogeneous integration is already here

Thank You Questions?

4 x100g Optical Interface Connector Connector 400Gb/s Line Card Application Up to 16 x 28 Gb/s GTZ Transceivers Up to 72 x 131 Gb/s GTH Transceivers Virtex-7 HT Network Processor Fabric Interface Switch Fabric Packet Queues and Lookup Memory (SRAM, TCAM, DRAM) Line Card Switch Card Page 24 Copyright 2013 2012 Xilinx