D 8.4 Workshop Report

Similar documents
parmerasa Dissemination Event Address of Welcome

Scope-based Method Cache Analysis

Experiences and Results of Parallelisation of Industrial Hard Real-time Applications for the parmerasa Multi-core

Support for the Logical Execution Time Model on a Time-predictable Multicore Processor

Best Practice for Caching of Single-Path Code

This is an author-deposited version published in : Eprints ID : 12597

D 3.6 FPGA implementation of self-timed NOC

Smarter and safer in an increasingly complex world European Mixed-Criticality Cluster

CONTENTION IN MULTICORE HARDWARE SHARED RESOURCES: UNDERSTANDING OF THE STATE OF THE ART

Avionics Applications on a Time-predictable Chip-Multiprocessor

A Shared Scratchpad Memory with Synchronization Support

D 5.6 Full Compiler Version

A Statically Scheduled Time- Division-Multiplexed Networkon-Chip for Real-Time Systems

State-based Communication on Time-predictable Multicore Processors

WCET Analysis of Parallel Benchmarks using On-Demand Coherent Cache

Challenges of FSW Schedulability on Multicore Processors

Network Calculus: A Comparison

Resource Sharing and Partitioning in Multicore

D 3.8 Integration report of the full system implemented on FPGA

D 3.4 Report documenting hardware implementation of the self-timed NOC

Improving Performance of Single-path Code Through a Time-predictable Memory Hierarchy

ait: WORST-CASE EXECUTION TIME PREDICTION BY STATIC PROGRAM ANALYSIS

D4.10 Final parmerasa Multi-core System Software

Timing Anomalies Reloaded

A Time-predictable Memory Network-on-Chip

A High Integrity Distributed Deterministic Java Environment. WORDS 2002 January 7, San Diego CA

MERASA: MULTICORE EXECUTION OF HARD REAL-TIME APPLICATIONS SUPPORTING ANALYZABILITY

MC2: Multicore and Cache Analysis via Deterministic and Probabilistic Jitter Bounding

Timing analysis and timing predictability

Architectural Time-predictability Factor (ATF) to Measure Architectural Time Predictability

Vincent Nelis, Patrick Meumeu Yomsi, Luís Miguel Pinho

Design and Analysis of Time-Critical Systems Introduction

Verification and Profiling tools

Media (NEM) Initiative

Towards Transactional Memory for Safety-Critical Embedded Systems

13th International Workshop on Worst-Case Execution Time Analysis

D 5.7 Report on Compiler Evaluation

D 5.3 Report on Compilation for Time-Predictability

A Template for Predictability Definitions with Supporting Evidence

Climbing Towards Trust, Privacy and Identity Management in Emerging ICT-based Scenarios

D 2.2 Concepts for Interrupt Virtualisation

Classification of Code Annotations and Discussion of Compiler-Support for Worst-Case Execution Time Analysis

th Euromicro Conference on Real-Time Systems (ECRTS 2014) Madrid, Spain 8-11 July IEEE Catalog Number: ISBN:

Towards a Time-predictable Dual-Issue Microprocessor: The Patmos Approach

IRMOS Newsletter. Issue N 2 / February Editorial. In this issue... Dear Reader, Editorial p.1

Efficient real-time SDRAM performance

Conference Paper. A Closer Look into the AER Model. Cláudio Maia Luis Nogueira Luis Miguel Pinho Daniel Gracia Pérez CISTER-TR

Single-Path Code Generation and Input-Data Dependence Analysis

WCET and Mixed-Criticality: What does Confidence in WCET Estimations Depend Upon?

Time-Predictable Virtual Memory

Predictability Considerations in the Design of Multi-Core Embedded Systems

1. Introduction. 1 Multi-Core Execution of Hard Real-Time Applications Supporting Analysability. This research is partially funded by the

Real-Time Audio Processing on the T-CREST Multicore Platform

Partner in a European project & how to get there - View from Russian Insider on project ISTOK.Ru

Improving Timing Analysis for Matlab Simulink/Stateflow

oordination upport Status: February 2017

Precise and Efficient FIFO-Replacement Analysis Based on Static Phase Detection

Welcome to the TIPS Workshop , Brussels

A Time-predictable Object Cache

EO Ground Segment Evolution Reflections by

Static Analysis of Worst-Case Stack Cache Behavior

Consumer Electronics Processors for Critical Real-Time Systems: a (Failed) Practical Experience

IRMOS Newsletter. Issue N 5 / January Editorial. In this issue... Dear Reader, Editorial p.1

Single-Path Programming on a Chip-Multiprocessor System

The Argo software perspective

Experience of the Tunisian Participation to the EU s Horizon 2020 Framework Programme as an Associated Country

parmerasa Pattern Catalogue Timing Predictable Parallel Design Patterns Mike Gerdes, Ralf Jahr, Theo Ungerer Report September 2013

Promoting Advanced Vehicle Safety Technologies

EURITRACK Workshop. Session 2 : Industrial and academic views. CEA LIST vision. R. Cammoun

H2020 EUB EU-Brazil Research and Development Cooperation in Advanced Cyber Infrastructure. NCP Training Brussels, 18 September 2014

A Dynamic Instruction Scratchpad Memory for Embedded Processors Managed by Hardware

Enabling TDMA Arbitration in the Context of MBPTA

The Future of Solid State Lighting in Europe

IPv6 Task Force - Phase II. Welcome

Hardware Support for Histogram-based Performance Analysis of Embedded Systems

EU Customs Policy for Supply Chain Security & Detection Technology (for CBRNE)

NCP_WIDE.NET. International Network of the National Contact Points (NCPs) For Spreading Excellence and Widening Participation. Madrid, 19 April 2016

Predictable hardware: The AURIX Microcontroller Family

Metal Recovery from Low Grade Ores and Wastes Plus

High-Performance Real-Time Lab (HiPeRT) Marko Bertogna University of Modena, Italy

On the Comparison of Deterministic and Probabilistic WCET Estimation Techniques

Once-Only Principle Reducing Administrative Burden for Citizens and Businesses

A Stack Cache for Real-Time Systems

Third public workshop of the Amsterdam Group and CODECS European Framework for C-ITS Deployment

Hannover Declaration

Improving multicore memory systems

Overview of Potential Software solutions making multi-core processors predictable for Avionics real-time applications

1. Publishable Summary

Substance, Product, Organisation and Referential (SPOR) communication update

European Space Policy

NoCo: ILP-based Worst-Case Contention Estimation for Mesh Real-Time Manycores

A Time-Composable Operating System for the Patmos Processor

Software Synthesis, Code Generation and Timing Analysis

Networking for Sustainability & Green Digital Charter

The EU Vision on Connected and Automated Driving (and its implementation via H2020)

A Time-Predictable Instruction-Cache Architecture that Uses Prefetching and Cache Locking

Research Infrastructures and Horizon 2020

Organic Computing Middleware for Ubiquitous Environments

EuroHPC Bologna 23 Marzo Gabriella Scipione

Measurement-Based Timing Analysis of the AURIX Caches

Transcription:

Project Number 288008 D 8.4 Workshop Report Version 2.0 30 July 2014 Final Public Distribution Denmark Technical University, Eindhoven University of Technology, Technical University of Vienna, The Open Group, University of York Project Partners: AbsInt Angewandte Informatik, Eindhoven University of Technology, GMVIS Skysoft, Intecs, Technical University of Denmark, The Open Group, University of York, Vienna University of Technology Every effort has been made to ensure that all statements and information contained herein are accurate, however the Partners accept no liability for any error or omission in the same. 2014 Copyright in this document remains vested in the T-CREST Project Partners.

DOCUMENT CONTROL Version Status Date 1.0 Collection of materials from first workshop at HiPEAC 22 February 2013 2.0 Inclusion of Madrid ERTS workshop materials 30 July 2014 Page ii Version 2.0 30 July 2014

TABLE OF CONTENTS 1. Introduction... 1 2. Workshop at HiPEAC Conference... 2 2.1 Agenda... 2 2.2 Presentation: Overview of the T-CREST Project... 4 2.3 Presentation: Time-predictable Processor and Network-on-Chip... 7 2.4 Presentation: Time-predictable Memory Hierarchy and SDRAM Controller... 12 2.5 Presentation: Compiler and WCET Analysis Tool Chain... 17 3. Workshop at ECRTS Conference... 20 3.1 Agenda... 20 3.2 Presentation: T-CREST: Time-predictable Multi-Core Architecture for Embedded Systems... 22 3.3 Presentation: Improving the average-case using worst-case aware prefetching... 25 3.4 Presentation: Argo: A Real-Time Network-on-Chip Architecture with an Efficient GALS Implementation... 32 3.5 Presentation: Function Splitting for the Patmos Method Cache... 36 3.6 Presentation: Single-Path Code Generation and Input-Data Dependence... 41 3.7 Presentation: Time-Predictable Caching of Stack Data... 44 3.8 Presentation: Branching in the time-predictable processor Patmos... 49 4. Conclusion... 52 30 July 2014 Version 2.0 Page iii

EXECUTIVE SUMMARY This report provides a summary of two workshops that were carried out by the T- CREST to disseminate information about the technology innovations that have been developed for European software developers and platform providers. The report includes the agendas and presentation materials from each workshop. The workshops were organised in collaboration with other larger events to achieve broader reach and to benefit from the infrastructures provided for organisation of events. Page iv Version 2.0 30 July 2014

1. INTRODUCTION This report provides an overview and documents the materials that were presented at two separate workshops that have been organised by the T-CREST project to create greater awareness of the project results. A first workshop was conducted in the second year of the project at the HiPEAC Conference 1 (European Network of Excellence on High Performance and Embedded Architecture and Compilation) in Berlin on 22 January 2013, where initial development results in the project being presented. A second workshop was conducted at the ECRTS Conference 2 (Euromicro Conference on Real-Time Systems) in Madrid on 10 July 2014, where final technology results were presented and information concerning access to the open source results from the project was provided. The T-CREST partners carried out both workshops in collaboration with other European Commission funded projects. The HiPEAC workshop in 2013 was conducted jointly with the following projects: parmerasa 3 : Multi-core execution of parallelised hard real-time applications supporting analysability; and PROARTIS 4 : Probabilistically analysable real-time systems Each project is addressing related technology challenges for embedded systems development. By collaborating in conducting a joint workshop, the projects were able to establish closer links while providing a broader view of the technology advances being addressed with the support of the European Commission thereby attracting a larger audience. The ECRTS workshop was carried out in collaboration with the following projects: parmerasa: Multi-core execution of parallelised hard real-time applications supporting analysability; and CERTAINTY 5 : Certification of real-time applications designed for mixed criticality The joint workshop with these projects created an opportunity for closer collaboration with the CERTAINTY project while further strengthening ties with parmerasa. The following sections summarises the materials that were presented at each of the workshops. 1 Conference information is available at: www.hipeac.net/conference/berlin 2 Conference information is available at: ecrts.eit.uni-kl.de/ecrts14 3 See www.parmerasa.eu for more information about the parmerasa project. 4 See www.proartis-project.eu for more information about the PROARTIS project. 5 See www.certainty-project.eu for more information about the CERTAINTY project. 30 July 2014 Version 2.0 Page 1

2. WORKSHOP AT HIPEAC CONFERENCE The following sections provide the Agenda and presentations that were utilised for the T-CREST workshop held at the HiPEAC Conference in Berlin on 22 January 2013. 2.1 AGENDA The following agenda was utilised for the workshop conducted as part of the HiPEAC Conference on 22 January 2012, at Berlin, Germany. Agenda Session 1: Introduction of the EU FP7 projects (10:00-11:00) Session chairs: Sascha Uhrig, Technical University of Dortmund Francisco J. Cazorla, Barcelona Supercomputing Center parmerasa Overview Theo Ungerer, University of Augsburg Overview of the T-CREST Project Jens Sparsø, Technical University of Denmark Introduction to PROARTIS Francisco J. Cazorla, Barcelona Supercomputing Center Session 2: Hardware Architectures (11:30-13:00) Session chair: Christian El-Salloum, Technical University of Vienna parmerasa Hardware Architecture Eduardo Quiñones, Barcelona Supercomputing Center T-CREST Time-predictable Processor and Network-on-Chip Jens Sparsø, Technical University of Denmark T-CREST Time-predictable Memory Hierarchy and SDRAM Controller Kees Goosens, Technical University of Eindhoven PROARTIS Hardware Architectural Solutions Francisco J. Cazorla, Barcelona Supercomputing Center Lunch (13:00 14:00) Session 3: Timing Analysis Support (14:00-16:00) Session chair: Jan Reineke, Saarland University PROARTIS WCET Analysis Techniques and Tools Adriana Gogonel, INRIA and Ian Broster, Rapita Systems parmerasa WCET Analysis Tools Haluk Ozaktas, University Paul Sabatier Toulouse and Ian Broster, Rapita Systems T-CREST Compiler and WCET Analysis Tool Chain Peter Puschner, Technical University of Vienna and Gernot Gebhard, AbsInt Session 4: System and Application Software (16:30-17:30) Page 2 Version 2.0 30 July 2014

Session chair: Luis Miguel Pinho, CISTER Research Centre PROARTIS System Software Tullio Vardanega, University of Padua parmerasa Parallelized Avionics and Automotive Software João Fernandes, Honeywell and Sebastian Kehr, Denso Close (17:30) 30 July 2014 Version 2.0 Page 3

2.2 PRESENTATION: OVERVIEW OF THE T-CREST PROJECT Page 4 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 5

Page 6 Version 2.0 30 July 2014

2.3 PRESENTATION: TIME-PREDICTABLE PROCESSOR AND NETWORK-ON-CHIP 30 July 2014 Version 2.0 Page 7

Page 8 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 9

Page 10 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 11

2.4 PRESENTATION: TIME-PREDICTABLE MEMORY HIERARCHY AND SDRAM CONTROLLER Note: Some slides numbers are omitted since they were not presented due to time constraints. Page 12 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 13

Page 14 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 15

Page 16 Version 2.0 30 July 2014

2.5 PRESENTATION: COMPILER AND WCET ANALYSIS TOOL CHAIN 30 July 2014 Version 2.0 Page 17

Page 18 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 19

3. WORKSHOP AT ECRTS CONFERENCE The following sections provide the Agenda and presentations that were utilised for the T-CREST workshop held at the ECRTS Conference in Madrid on 10 July 2014. 3.1 AGENDA The workshop conducted as part of the ECRTS Conference at Madrid on 10 July 2014, utilised the following agenda. Agenda Introduction to T-CREST, parmerasa and CERTAINTY projects (9:00 10:20) T-CREST: Time-predictable Multi-Core Architecture for Embedded Systems Martin Schoeberl, Technical University of Denmark parmerasa Overview - Objectives and Achievements Theo Ungerer, University of Augsburg CERTAINTY: Certification of Real time applications designed for mixed criticality M. Faugère, THALES Research and Technology Dynamic Budgeting for Settling DRAM Contention of Co-running Mixed- Criticality Applications on Multicores Martin Stigge, Uppsala University Break (10:20 10:40) T-CREST Technologies (10:40 12:40) Improving the average-case using worst-case aware prefetching Jamie Garside, University of York Argo: A Real-Time Network-on-Chip Architecture with an Efficient GALS Implementation Evangelia Kasapaki, Technical University of Denmark Function Splitting for the Patmos Method Cache Stefan Hepp, Vienna University of Technology Single-Path Code Generation and Input-Data Dependence Analysis Daniel Prokesch, Vienna University of Technology Time-Predictable Caching of Stack Data Sahar Abbaspourseyedi, Technical University of Denmark Branching in the time-predictable processor Patmos Wolfgang Puffitsch, Technical University of Denmark Lunch (12:40 13:40) parmerasa Technologies (13:40 15:00) Systematic and Timing-analyzable Parallelization of Industrial Applications Martin Frieb, University of Augsburg Page 20 Version 2.0 30 July 2014

Towards Parallelization of Automotive Legacy Software Sebastian Kehr, Denso Static timing analysis of parallel applications Christine Rochange, University of Toulouse Multi-core architectures for hard real-time systems Milos Panic, Barcelona Supercomputing Center, Spain Break (15:00 15:20) CERTAINTY Technologies (15:20 17:00) Scheduling, mapping and interference analysis for mixed-critical applications on multi-core platforms Nikolay Stoimenov, Eidgenössische Technical University Zürich Composability and scheduling Petro Poplavko, Verimag NoC modeling and computation of worst-case traversal bounds on MPPA B. Dinechin, Kalray Fault modeling at NoC level A. Tschiene, Technical University Braunschweig Static Code-Level Timing and Stack Usage Analysis C. Ferdinand, Absint Close (17:00) 30 July 2014 Version 2.0 Page 21

3.2 PRESENTATION: T-CREST: TIME-PREDICTABLE MULTI-CORE ARCHITECTURE FOR EMBEDDED SYSTEMS Page 22 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 23

Page 24 Version 2.0 30 July 2014

3.3 PRESENTATION: IMPROVING THE AVERAGE-CASE USING WORST-CASE AWARE PREFETCHING 30 July 2014 Version 2.0 Page 25

Page 26 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 27

Page 28 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 29

Page 30 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 31

3.4 PRESENTATION: ARGO: A REAL-TIME NETWORK-ON-CHIP ARCHITECTURE WITH AN EFFICIENT GALS IMPLEMENTATION Page 32 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 33

Page 34 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 35

3.5 PRESENTATION: FUNCTION SPLITTING FOR THE PATMOS METHOD CACHE Page 36 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 37

Page 38 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 39

Page 40 Version 2.0 30 July 2014

3.6 PRESENTATION: SINGLE-PATH CODE GENERATION AND INPUT-DATA DEPENDENCE 30 July 2014 Version 2.0 Page 41

Page 42 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 43

3.7 PRESENTATION: TIME-PREDICTABLE CACHING OF STACK DATA Page 44 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 45

Page 46 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 47

Page 48 Version 2.0 30 July 2014

3.8 PRESENTATION: BRANCHING IN THE TIME-PREDICTABLE PROCESSOR PATMOS 30 July 2014 Version 2.0 Page 49

Page 50 Version 2.0 30 July 2014

30 July 2014 Version 2.0 Page 51

4. CONCLUSION Organising the two workshops were important actions in support of the dissemination objectives of the T-CREST project. The major conference that were selected for the workshop venues included research and industrial representatives from across Europe with many having specific interests in technology advances in platform architectures, as well as technologies that support critical systems and dependability. The audiences also had sufficient technical results concerning the technological innovations from the T- CREST project could be presented in depth. The workshops also provided further opportunities for collaboration with three other European Commission funded projects addressing related technology challenges. Page 52 Version 2.0 30 July 2014