Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Similar documents
EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

UNIVERSITY OF WATERLOO

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

ECE471/571 Energy Efficient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30 am on Friday, February 2 nd, 2018

Design rule illustrations for the AMI C5N process can be found at:

ECE471/571 Energy Ecient VLSI Design

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

Virtuoso Schematic Composer

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Virtuoso Layout Editor

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

EE 330 Laboratory 3 Layout, DRC, and LVS

CMOS VLSI Design Lab 4: Full Chip Assembly

Lab 2. Standard Cell layout.

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

Cadence Tutorial. Introduction to Cadence 0.18um, Implementation and Simulation of an inverter. A. Moradi, A. Miled et M. Sawan

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ANALOG MICROELECTRONICS ( A)

Process technology and introduction to physical

Virtuoso Layout Suite XL

EECE 285 VLSI Design. Cadence Tutorial EECE 285 VLSI. By: Kevin Dick Co-author: Jeff Kauppila Co-author: Dr. Arthur Witulski

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

CADENCE SETUP. ECE4430-Analog IC Design

Cadence Tutorial D: Using Design Variables and Parametric Analysis Document Contents Introduction Using Design Variables Apply Apply

DATASHEET VIRTUOSO LAYOUT SUITE GXL

This is a brief tutorial about building a Symbol for a Schematic in Cadence IC design tool environment for hierarchical design of schematics.

VLSI Lab Tutorial 1. Cadence Virtuoso Schematic Composer Introduction

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

ELEC451 Integrated Circuit Engineering Using Cadence's Virtuoso Layout Editing Tool

ASIC Physical Design Top-Level Chip Layout

CMOS INVERTER LAYOUT TUTORIAL

Select the technology library: NCSU_TechLib_ami06, then press OK.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

Microelectronica. Full-Custom Design with Cadence Tutorial

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

DRC and LVS checks using Cadence Virtuoso Version 3.0

Fall 2008: EE5323 VLSI Design I using Cadence

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

Cadence IC Design Manual

Guide to the CSE 577 Lab and Cad tools

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #1, Full Custom VLSI (inverter layout)

DOWNLOAD PDF CADENCE WAVEFORM CALCULATOR USER GUIDE

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

Laker 3 Custom Design Tools

TRANSISTOR-LEVEL ROUTING WITH IC CRAFTSMAN & VIRTUOSO USING A LOCAL INTERCONNECT

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group.

CMOS Design Lab Manual

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

Layout and Layout Verification. of an Inverter Circuit

EE5323/5324 VLSI Design I/II using Cadence

CS755 CAD TOOL TUTORIAL

DRC and LVS checks using Cadence Virtuoso Version 2.0

Procedure for PCBoard Layout

SCHEMATIC1 SCHEMATIC2 SCHEMATIC1 SCHEMATIC2 SCHEMATIC3 PAGE1 PAGE2 PAGE3 PAGE1 PAGE1 PAGE2 PAGE1 PAGE1 PAGE2

ACCELERATING CHIP-LEVEL ROUTING AND DESIGN

Laker Custom Layout Automation System

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

Cadence Virtuoso Layout Connectivity Mark- Net Tutorial

Tutorial for Cadence SOC Encounter Place & Route

Lab 4 LVS and Post layout Simulation

A Framework for Systematic Evaluation and Exploration of Design Rules

ESE570 Spring University of Pennsylvania Department of Electrical and System Engineering Digital Integrated Cicruits AND VLSI Fundamentals

Expert Layout Editor. Technical Description

Tutorial on getting started in Cadence. Advanced Analog Circuits Spring 2015 Instructor: Prof. Harish Krishnaswamy TA: Jahnavi Sharma

Lesson 12: Preparing for Post Processing

Exercise 1. Section 2. Working in Capture

Introduction to laboratory exercises in Digital IC Design.

EE 330 Laboratory Experiment Number 11

Creating LEF File. Abstract Generation: Creating LEF Tutorial File Release Date: 01/13/2004. Export GDS:

Spiral 2-8. Cell Layout

The Procedure for Laying out the inverter in TSMC s 0.35 micron Technogy using MOSIS SCMOS SCN4M_SUBM design rules.

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Place & Route: Using Silicon Ensemble

Logging in, starting a shell tool, and starting the Cadence Tool Suite

Orcad Layout Plus Tutorial

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Cadence Tutorial C: Simulating DC and Timing Characteristics 1

Physical Placement with Cadence SoCEncounter 7.1

Single-Strip Static CMOS Layout

Creating a PCB Design with OrCAD PCB Editor

AMS DESIGN METHODOLOGY

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Tutorial II: Cadence Virtuoso ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof.

Introduction to Design Architect

Using OrCAD Layout Plus A Simple Guide

Complete Tutorial (Includes Schematic & Layout)

Galaxy Custom Designer LE Custom Layout Editing

Synopsys Custom Designer Tutorial for a chip integra7on using the University of Utah Standard Cell Libraries In ON Semiconductor 0.

Microwave Office Training

Comprehensive Place-and-Route Platform Olympus-SoC

Can Recursive Bisection Alone Produce Routable Placements?

Transcription:

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features Virtuoso Custom Placer and Router Some Layout Editing Tips

History of Layout Generation Layout: Each layer of each devices were drawn manually Layout L: PDKs offer pre-made scalable devices. pcells can be individually added to your layout. Layout XL: Generate layout from schematic (pcells Parametrized Cells)

Layout L and Layout XL File New Cell View Application / Open with choose Layout XL Hit -- Always use this application for this type of file

Layout L and Layout XL Tools Design Synthesis LayoutXL (In cadence 5) Launch LayoutXL (In cadence 6)

Layout L and Layout XL See the below menu

OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features Virtuoso Custom Placer and Router Some Layout Editing Tips

Mapping Launch Configure Physical Hierarchy Physical Library and Physical Cell should be set

Mapping If you have existing design, you can map with Connectivity Update Device Corresponding

OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features Virtuoso Custom Placer and Router Some Layout Editing Tips

Generating Layout From Schematic Connectivity Generate All from Source Connectivity Generate Pick from Schematic File Import XL Netlist (Generates from CDL)

Generating Layout From Schematic Change default pin layers to which layer you want Set pins from Create Label As Hit Ok, Cadence automatically creates and places the instances in your layout

Generating Layout From Schematic Shift - f

Displaying Levels Options Display Set Display level from 0 to 32 (Shift - f) Options Display Set Display level from 0 to 0 (Ctrl- f)

Boundary Box Move the cells inside purple bounding box It is not necessary to use it If rules and constraints are entered, Cadence can do automatic routing, which is not optimal in most cases. Route Automatic Routing

OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features Virtuoso Custom Placer and Router Some Layout Editing Tips

Connectivity When you select a device or a pin in schematic, it will be highlighted in layout

Connectivity Cell boundary Pins transistors

Connectivity Moving a device or a pin will show its connections with other devices

Connectivity

Connectivity

OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features Virtuoso Custom Placer and Router Some Layout Editing Tips

Design Rule Driven (DRD) Options DRD Edit Off Notify Enforce This is a kind of DRC during layout editing

Transistor Chaining Move transistor Flight Line will appear Drag the transistor from the highlighted side Vias will be aligned Chaining is achieved From Virtuoso XL Options, Auto Abutment needs to be turned on

Transistor Folding Edit Transistor Folding Specify the number of gates and width of each gate Split devices can automatically abutted Abutment can be done while moving devices

Permuting Pins & Swaping Devices Connectivity Permute Pins Allows pins of a p-cell device to be swapped Options Virtuoso XL turn on Auto Permute Edit Other Swap Components Swaps selected two components, does not swap connections

Aligning Devices Edit Other Align Align any object, instance, layer along its edge, origin or center Minimum separation distance can be applied This comment does not read design rules

Property Transistor properties Bulk Gate Fingers Drain/Source Edit Property or q Transistor parameters can be modified during editing layout

Property Set bulk connection (left, right, dual, abutted, non-abutted) Set gate fingers connection (top, bottom, both, poly, metal) Set drain/source connection

Creating Wires & Guard Rings Wire Create path - p Create shape r Create Point to point ctrl + shift +p Create Multipart Path (MPP creates contact arrays, guard rings, shielded wires) Create Guided routing ctrl + shift + g (creates guard rings) Route Automatic Routing Paths can be used with DRD

Creating Via Create Via O hot key

Incomplete Nets Connectivity Nets Show/Hide Selected Incomplete Nets

Creating Label Create Label l

OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features Virtuoso Custom Placer and Router Some Layout Editing Tips

Virtuoso Custom Placer & Router It needs placer.rul and router.rul rule files Boundary Box is needed Generally, it is not optimal

Virtuoso Custom Placer & Router

Virtuoso Custom Placer & Router Place Partitioning Name partitions Link to schematic Create rectangles for partitions Hit the Attach Shape

Virtuoso Custom Placer & Router Place Placement Planning Choose the Components Chose the Allign Components Calculate Estimate Will calculate the area of NMOS and PMOS in the boundary

Virtuoso Custom Placer & Router Place Placer Choose the Group CMOS Pairs Choose the Optimize Placement Click on Set file Select the rule file

Virtuoso Custom Placer & Router

Virtuoso Custom Placer & Router Route Export to Route Select Cadence chip assembly There may be some other router depending on your licenses

Virtuoso Custom Placer & Router AutoRoute Detail Router Detail Route Hit OK

Virtuoso Custom Placer & Router Auto route Clean Change number of passes Remove higher layer of metals Try until it seems fine

Virtuoso Custom Placer & Router Add NTAP and PTAP Create Instance Fill the # of rows and colums

Virtuoso Custom Placer & Router

OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features Virtuoso Custom Placer and Router Some Layout Editing Tips

Layout Editing Tips During layout editing try to use Mark/Unmark Nets Array Copy Chop the Line Split the Line Change the origin

Engineering Change Order (ECO) During layout editing Make required changes to the schematic Connectivity Check against Source (check the layout against the schematic, missing devices in the layout highlighted in schematic) Connectivity Update Components and Nets (updates the layout with new schematic connectivity, components and pins) Connectivity Update Layout parameters (updates the layout with new schematic parameters) Highlights old extra devices, place new devices, highlights wrong connections

References Cadence Automated Custom Physical Design Manual Cadence Tutorial of VLSI Research Group CACS, Chaitanya Emmela North Carolina State University Tutorials