Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Similar documents
Burn-in & Test Socket Workshop

ARCHIVE 2008 COPYRIGHT NOTICE

TechSearch International, Inc.

3-D Package Integration Enabling Technologies

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

3D & Advanced Packaging

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

TechSearch International, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Package (1C) Young Won Lim 3/20/13

Package (1C) Young Won Lim 3/13/13

Advanced Packaging For Mobile and Growth Products

TechSearch International, Inc.

Introduction Overview Of Intel Packaging Technology

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

TechSearch International, Inc.

Additional Slides for Lecture 17. EE 271 Lecture 17

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Bringing 3D Integration to Packaging Mainstream

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

Thermo Mechanical Modeling of TSVs

Packaging Technology for Image-Processing LSI

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

Xilinx SSI Technology Concept to Silicon Development Overview

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

Chapter 1 Introduction of Electronic Packaging

ARCHIVE Françoise von Trapp Editorial Director 3D InCites ABSTRACT

E. Jan Vardaman President & Founder TechSearch International, Inc.

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego.

Adapter Technologies

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

3D technology for Advanced Medical Devices Applications

Advancing high performance heterogeneous integration through die stacking

Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products

Thermal Sign-Off Analysis for Advanced 3D IC Integration

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES

Design and Assembly Process Implementation for BGAs

TechSearch International, Inc.

ECE 5745 Complex Digital ASIC Design Topic 7: Packaging, Power Distribution, Clocking, and I/O

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

Rethinking the Hierarchy of Electronic Interconnections. Joseph Fjelstad Verdant Electronics

Advanced Heterogeneous Solutions for System Integration

Custom Connectors Overview

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Module 7 Electronics Systems Packaging

TABLE OF CONTENTS III. Section 1. Executive Summary

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Is Smaller Better? By Rick Cory, Skyworks Solutions, Inc.

Material technology enhances the density and the productivity of the package

Packaging for parallel optical interconnects with on-chip optical access

Packaging Innovation for our Application Driven World

3DIC & TSV interconnects

SEMI 大半导体产业网 MEMS Packaging Technology Trend

Probing 25µm-diameter micro-bumps for Wide-I/O 3D SICs

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

Wafer Probe card solutions

Thermal Management Challenges in Mobile Integrated Systems

Multi-Die Packaging How Ready Are We?

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1.

E-tec Socketing solutions for BGA, LGA, CGA, CSP, MLF & Gullwing chips

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Akrometrix Testing Applications

Pushing the barriers of wafer level device integration: High-speed assembly, the case for MicroTape.

Setting the Test Standard for Tomorrow. Nasdaq: AEHR

WaferBoard Rapid Prototyping

ARCHIVE Brandon Prior Senior Consultant Prismark Partners ABSTRACT

IC Obsolescence Solutions

FO-WLP: Drivers for a Disruptive Technology

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

IMEC CORE CMOS P. MARCHAL

3DIC & TSV interconnects business update

On GPU Bus Power Reduction with 3D IC Technologies

Board Design Guidelines for Intel Programmable Device Packages

Moore s s Law, 40 years and Counting

Stacked Silicon Interconnect Technology (SSIT)

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping

Comparison of Singulation Techniques

Wafer Probe card solutions

September 13, 2016 Keynote

High Performance Mixed-Signal Solutions from Aeroflex

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages

Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design

Functional Testing of 0.3mm pitch Wafer Level Packages to Multi- GHz Speed made possible by Innovative Socket Technology

ECE 486/586. Computer Architecture. Lecture # 2

Transcription:

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

/ DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains Packaging Integrated Circuits 1960 1970 1980 1990 2000 2010

CSP-WLP Enables New Wave of I/O Density VOLUME Density Thru Hole DIP Pin Grid Surface Mount QFP TSOP SOJ Area Array CSP BGA 1960 1980 2000 YEAR

IC Packaging Progression: Through Hole Surface Mount Area Array TSOP 25 mil pitch Limited by perimeter leads CSP / BGA Area array 0.8 mm to 0.3 mm Limited by substrate wiring Allows Wafer Level Processing DIP 100 mil pitch Limited by through hole spacing

WLP is a Paradigm Continuous Process Improvement: lower cost increased performance added functionality Key Factors: Processing vs. Assembly Driven by cost reduction learning curve Applicable to diverse packaging technologies WLP is a process - Not a package technology National Semi µsmd

WLP Enjoys Exceptional Growth TechSearch Projects 14% Growth (CAGR) for 2007-2014 Diverse set of applications & technologies Growth concentrated in packages < 50 pins Driven by performance, form-factor ( AND COST!) Growth in Wafer Level Packaging of MEMS Devices Camera chips Pressure sensors Crystal Oscillators, Emerging Applications in Through Silicon Via (TSV) Stacked memory

Tessera iwlc

Stacked Chip Approaches are the Next Step in Density Through Hole SMT BGAs MultiChip CSPs Wafer Level Stacked Chip 0 50 100 150 200 250 * J. Fjelstad in Electronic News 01.22.01

TSV (Thru-Silicon Via) COURTESY ALLVIA Thru Silicon-Via

Micro-SMT National Semiconductor

Expanding WLCSP Application Space > 42 mm 2 196 Die Size I/O Count < 1 mm 2 < 5 2008 Amkor Technology, Inc. Year 2003 2005 2008

WLCSP Market* Strategic and rapidly growing WLCSP business > 1. 3 Billion WLCSP s shipped since 2005 >95% of WLCSP s shipped include RDL and lead free solder bumps Multiple customers in production, wide range of new designs in qualification 0.5mm pitch dominant 0.4mm pitch emerging 0.3mm pitch in development First 300mm WLCSP qual achieved in T5 as of October 1, 2006 Global wafer level process manufacturing footprint 7 countries and 15 sites * From Lee Smith, Amkor 2008 Amkor Technology, Inc.

10000 Flip-Chip < - > Underfill+ Processor Pins (#) 1000 100 10 Small IC Passives Analog ICs Power ICs Discretes 0.25 mm grid 0.5 mm grid µp ASICs DRAM Flash SRAM Memory 1 1 10 100 1000 Die Area (mm 2 )

WLP has not gone Mainstream (i.e. DRAM) WHY NOT? Cost Effective Burn-in and Test Reliable Solder Attach Technology Cost!

Full Wafer Burn-in is Stalled Technical Obstacles: 20,000-50,000 contacts From 25C to 150C Alumina Silicon Moves ~ 100 µm Cost: Must cost << $50,000 Equivalent to burn-in sockets & boards.

WLP Burn-in Alternatives Test-in-Tray Test only good die Standardized handling Test and Burn-in on the same tray Cost!

Solder Attach Technology (DRAM) LOW COST Solder Column Bumped Wafer Copper Posts Wire Bond Metallized Elastomer RELIABLE MicroSprings Tessera WAVE Low CTE Substrate

Tessera WLP Full wafer Reliable solder attach Power and Ground Wiring layers are possible From Tessera 1999 Wafer Level Package

Typical WAVE Package From Tessera 1999

From Tessera 1999

Low Expansion Substrate Reduces Thermal Mismatch Low CTE micro-via Boards CIC Core Substrate Copper/Invar/Copper A direction to watch Low CTE Substrates Via Density

FUTURES Cost Batch Processing Simplified Logistics Power and ground distribution Global Routing in the IC Package Integration for Greater Functionality Future

The I/O Explosion 3000 Increasing numbers of I/O are dedicated to getting clean power into the chip. Total Pin Count I/O 2000 Solution: Power/ground distribution in WLP Power/Ground 1000 Signal 500 1980 1990 2000 2010

I/O Explosion: Power/Ground Distribution Distribute the Power and Ground on Chip Better electrical characteristics Shorter distance to the shielding planes Dramatically reduces I/O connections for power/ground 80+% of I/O on advanced processors is Power/Ground. Power and Ground Layers on the Wafer Efficiency of production Avoid paying for large number of power/ground pins. Makes the chip easier to test - fewer power/ground contacts

RC Delays on Chip Limit Performance RC Waveform Distortion Limits Propagation to ~ 2mm at 0.18µ RC Propagation Limit Solution: High Performance WLP Interconnect Reduces RC Delays

Package Wiring Enhances IC Performance Global Distribution of High Speed Clock Use high performance nets in the package for clock distribution Routing Critical Nets Low resistance copper nets provide low RC delays for long net runs The RC delay problem increases as technology advances RC scales as resistance -> (lithographic dimension) -2

A View of the Future: Thermal Management CSP/WLP High Performance Cable MicroVia Substrates Integrated Passives Stacked Chips Optical Pigtail

Wafer Level Packaging is a Process Improvements drive a learning curve for cost reduction New processes allow added IC functionality Infrastructure is needed to go mainstream Test and burn-in High density printed wiring boards