Analysis of 8T SRAM Cell Using Leakage Reduction Technique

Similar documents
LOW POWER SRAM CELL WITH IMPROVED RESPONSE

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

A Single Ended SRAM cell with reduced Average Power and Delay

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

A Novel Architecture of SRAM Cell Using Single Bit-Line

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

250nm Technology Based Low Power SRAM Memory

Implementation of DRAM Cell Using Transmission Gate

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

A Low Power SRAM Cell with High Read Stability

Design of Low Power 5T-Dual Vth SRAM-Cell

LOW POWER SRAM CELL OF LEAKAGE CURRENT AND LEAKAGE POWER REDUCTION

DECOUPLING LOGIC BASED SRAM DESIGN FOR POWER REDUCTION IN FUTURE MEMORIES

1073 P a g e 2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY

PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES

Design of Low Power Wide Gates used in Register File and Tag Comparator

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

Design and verification of low power SRAM system: Backend approach

AN ANALYTICAL APPROACH TO DESIGN VLSI IMPLEMENTATION OF LOW POWER, HIGH SPEED SRAM CELL USING SUB-MICRON TECHNOLOGY

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power

A REVIEW ON LOW POWER SRAM

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

LOW- POWER ANALYSIS OF VARIOUS 1-BIT SRAM CELLS USING SPICE

Modeling and Comparative Analysis of Logic Gates for Adder and Multiplier Applications -A VLSI based approach

Highly Reliable Radiation Hardened Memory Cell for FINFET Technology

LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES

Analysis of Power Dissipation and Delay in 6T and 8T SRAM Using Tanner Tool

Low Power and Improved Read Stability Cache Design in 45nm Technology

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

Low Power SRAM Design with Reduced Read/Write Time

POWER EFFICIENT SRAM CELL USING T-NBLV TECHNIQUE

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

Low Power Circuits using Modified Gate Diffusion Input (GDI)

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

Design and Simulation of Power Optimized 8 Bit Arithmetic Unit using Gating Techniques in Cadence 90nm Technology

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

Design of Read and Write Operations for 6t Sram Cell

Design of local ESD clamp for cross-power-domain interface circuits

Survey on Stability of Low Power SRAM Bit Cells

Column decoder using PTL for memory

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

One Bit-Line Multi-Threshold SRAM Cell With High Read Stability

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

Design of 2-Bit ALU using CMOS & GDI Logic Architectures.

Efficient Current Mode Sense Amplifier for Low Power SRAM

Content Addressable Memory performance Analysis using NAND Structure FinFET

An Efficient Design of 8T SRAM Cell Using Transmission Gates

Design of 6-T SRAM Cell for enhanced read/write margin

A Low Power SRAM Base on Novel Word-Line Decoding

SRAM Memory Layout Design in 180nm Technology

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY

Design of Low Power SRAM in 45 nm CMOS Technology

READ STABILITY ANALYSIS OF LOW VOLTAGE SCHMITT TRIGGER BASED SRAM

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

Implementation of Asynchronous Topology using SAPTL

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta *

DESIGN OF LOW POWER 8T SRAM WITH SCHMITT TRIGGER LOGIC

LOW POWER WITH IMPROVED NOISE MARGIN FOR DOMINO CMOS NAND GATE

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology

Static Noise Margin Analysis of Various SRAM Topologies

VERY large scale integration (VLSI) design for power

Three DIMENSIONAL-CHIPS

LEAKAGE POWER REDUCTION OF ON CHIP SRAM CELLS

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY

Filter-Based Dual-Voltage Architecture for Low-Power Long-Word TCAM Design

CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN

Testability Design for Sleep Convention Logic

Performance Enhancement Guaranteed Cache Using STT-RAM Technology

Low Power Cache Design. Angel Chen Joe Gambino

POWER OPTIMIZATION USING BODY BIASING METHOD FOR DUAL VOLTAGE FPGA

THE POWER CONSTRAINT AND REMEDIAL METHOD IN DESIGN OF VARIATION TRAINED DROWSY CACHE (VTD- CACHE) IN VLSI SYSTEM DESIGN

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology

Design of high speed low power Content Addressable Memory (CAM) using parity bit and gated power matchline sensing

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

Low-Power Technology for Image-Processing LSIs

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani

Review on Power Dissipation Analysis of Conventional SRAM Cell Architecture

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Optimized CAM Design

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique

Microelectronics Reliability

Design of a Low Power and Stable 11T SRAM cell with bit-interleaving capability

Macro in a Generic Logic Process with No Boosted Supplies

Power Gated Match Line Sensing Content Addressable Memory

Optimizing Standby

Comparative Analysis of Contemporary Cache Power Reduction Techniques

Transcription:

Analysis of 8T SRAM Cell Using Leakage Reduction Technique Sandhya Patel and Somit Pandey Abstract The purpose of this manuscript is to decrease the leakage current and a memory leakage power SRAM cell with the Drowsy cache design techniques for circuit. In drowsy cache method, low supply voltage (VDD) is applied to the SRAM cell when only hold operation is performed. When read and write operations have been performed in active mode, high supply voltage is applied. This drowsy cache method can be capable of reduce the leakage of power hold mode. SRAM cell have smallest difficulty in terms of area and speed of the chip. The leakage current in the SRAM cell increases due to the reduction in the length of the channel of the MOSFET. The proposed 8T SRAM cell has been designed using orcad pspice A/D tool, the results of all simulations has been generated by the pspice A/D simulator. Index Terms SRAM, Leakage Current, Leakage Power, Drowsy Cache, Bit Line, Word Line. I. INTRODUCTION At the nanoscale CMOS technology, the power supply has become a design constraint not only on the handheld and mobile devices, but also in the high-performance processors. Dynamic power dissipation takes place due to the switch activity of CMOS circuits and power dissipation static takes place due to leakage currents. Therefore, the leakage currents are gaining additional importance. But with the reduction of CMOS transistors, gate leakage and sub-threshold leakage current increases. The gate leakage is expected to increase at a rate of 500x by generation of technology while the sub-threshold should increase of leakage by 5x [1]. There are several techniques for leakage reduction introduced by the community of researchers, but each of them must be checked according to the techniques of circuit and the target technology without sacrificing the stability of data, delay etc. Due to big size of the on-chip SRAM, leakage current is the mainly significant determinant of the total energy consumption in the SRAM memory. Rapidly increase of results of leakage current in large quantity of the power consumption. The main challenges to the future the SRAM memory are the leakage of the power consumption, the leakage current and the variation parameter [2-3]. When increase in leakage power in the future technologies due to reduction in the threshold voltage. 8T SRAM cell has been proposed with the goal of reducing leakages [4-6]. Manuscript received Dec, 2015. Sandhya Patel, Dept. of E&C Engineering, Infinity Management & Engineering College, Sagar, India. Somit Pandey, Dept. of E&C Engineering, Infinity Management & Engineering College, Sagar, India. II. 8T SRAM CELL DESIGN The 8T SRAM cell consists of latch and two n-channels MOSFET based access transistors shown in fig.1; these cross coupled inverters are called as a latch. The latch has four transistors; each bit in a SRAM is stored on four transistors. The drain terminal of n-channel access transistors are connected to the latch inputs and source terminals are connected to the bit line and bit line bar. The additional n-channel transistor M7 is connected to the storage node Q and M8 transistor are connected to the Read word and read bit lines (RDWL and RDBL). SRAM stability is characterized by the data retention stability through a read operation. In 6T SRAM cell, the data storage nodes are accessed directly through the n-channel access transistors connected to the bit lines. The storage nodes are interrupted due to the voltage division between the latch and the n-channel MOSFET access transistors during a read operation. Separation of data retention component and data output component means there will be no relationship between I and read SNM. To overcome the problem of destruction cell of data in 6T and 7T SRAM cells during the read operation [7-8], we implement the 8T cell, for which separate word lines and read/write bits are used to separate the output data and the data retention element. In turn, the implementation provides a cell read disturb free operation. As shown in fig.1, 8T SRAM cell has 30% more area than a conventional 6T SRAM cell, however. The 30% area overhead is composed of not only the two added n-channel transistors but also of the contact area of the word-line for write (WWL) operations. While WL contact area is conventionally assigned to the boundary line between two SRAM cells, in this 8T SRAM cell the WWL contact area is assigned to within a cell. III. 8T SRAM CELL USING DROWSY CACHE METHOD SRAM cell is a memory element and performs several operations. These operations are read, write and hold operation. It is considered that, read and write operations are performed in active mode of operation. In case of standby mode, hold operation instead of read and write operation takes place. The hold operation in standby mode takes place by using latching phenomenon of memory so that the data does not vanish during standby state and in this mode very low supply voltage is needed to hold the data. 4395

Fig. 1: The Schematic of 8T SRAM Cell Fig. 2: The Schematic of 8T SRAM Cell using Drowsy Cache Method In drowsy cache method, low supply voltage (VDD) is applied to the SRAM cell when only hold operation is performed. When read and write operations have been performed in active mode, high supply voltage is applied [9-10]. It has used multiple supply voltages in memory cell. It is known that leakage current reduces on reducing VDD therefore low VDD is used in this technique during standby mode and high VDD during active mode. It has reduced the leakage current and power consumption to enhance the performance of circuit. The schematic of SRAM cell using drowsy cache is shown in Figure 2. IV. SIMULATION RESULTS The proposed 8T SRAM cell has been designed using orcad pspice A/D tool; all the waveforms have been generated on pspice A/D simulator. The proposed 8T SRAM cell is decreasing leakage power and leakage current, but it does not decrease enough leakage power and leakage current which leads to application of drowsy cache method to decrease leakage power and leakage current into 8T SRAM cell. In drowsy cache design, since sleep transistor is used to 4396

operate at 0 V and 0.7 V. Therefore, when sleep is kept at 0 V, PMOS becomes ON and NMOS turns to OFF state. At this state, VDD becomes equal to.7 V. Similarly, the same design is simulated at sleep equal to 0.7V, at this voltage PMOS becomes OFF and NMOS becomes ON, so that VDD reaches to 0.35V. The waveforms of leakage current at sleep= 0V and sleep= 0.7V are shown in Figure 3, Figure 4. Figure 3: The waveform of leakage current in 8T SRAM cell using Drowsy Cache at sleep=0 The leakage current of M1, M2, M3 and M4 transistors at sleep=0v are 6.123 A, 1.414 A, 6.252 A, and 1.486 A respectively. The leakage current of M1, M2, M3 and M4 transistors at sleep=0.7v are 1.196 A, 180.018nA, 272.870 na and 281.840 na respectively. The waveform of leakage power in 8T SRAM using drowsy cache is shown in figure 5. The leakage power of M1, M2, M3 and M4 transistors are 6.227nW, 5.710nW, 22.280nW and 25.947nW respectively. Figure 4: The waveform of leakage current in 8T SRAM cell using Drowsy Cache at sleep=0.7 4397

Figure 5: The waveform of leakage power in 8T SRAM cell using Drowsy Cache The results obtained from the orcad pspice A/D simulator have been summarized in the table 1. In table 1, we compare the parameters of 8T SRAM cell using drowsy cache method with 8T SRAM cell. Table. 1 Comparison of Leakage Current and Leakage Power of 8T SRAM with and without Technology 8T SRAM Cell Leakage Current Leakage Power M1 M2 M3 M4 M1 M2 M3 M4 Without Technique 45.604nA 89.619nA 555.915nA 552.501nA 9.374nW 8.082nW 25.600nW 29.262nW Drowsy cache at sleep=0v Drowsy cache at sleep=0.7v 6.123 A 1.196 A 1.414 A 6.252 A 1.486 A 6.227nW 5.710nW 22.280nW 25.947nW 180.018 na V. CONCLUSION 272.870 na 281.840 na Analysis of leakage current and leakage power parameters of 8T SRAM cell has been described in this paper. An analysis of leakage currents in 8T SRAM cell show that leakage currents contribute significantly on the whole leakage power dissipation in hold mode. Leakage reduction in 8T SRAM cell has been predicted using drowsy cache technique. Drowsy cache technique reduces the leakage current and hence power consumption. Among these techniques, drowsy cache design is the prominent technique which could reduce the leakage current by a factor of ~90-95% using sleep at 0.7 V. Therefore power consumption of the 8T SRAM is reduced and performance has been enhanced. REFERENCES [1] Li-Jun Zhang, Chen Wu,Ya-Qi Ma, Jain-Bin Zheng, Ling-Feng Mao, Leakage Power Reduction Techniques of 55nm SRAM cells, IETE Technical Review, Vol. 28, Issue 2, pp. 135-145, Mar-Apr 2011. [2] C. Hyung, I. Kim, and J. J. Kim, A forward body-biased low-leakage SRAM cache device, circuit and architecture considerations, IEEE Trans. VLSI Syst., vol. 13, no. 3, pp. 349 357, 2005. [3] S. Ohbayashi, A study of fanout optimization of SRAM decoder with a line capacitance, Trans. IEICE, vol. E-73, no. 11, pp. 1855 1857, Nov. 1990. [4] T. Fischer, A. Olbrich, G. Georgakos, B. Lemaitre, and D. S. Landsiedel, Impact of process variations and long term degradation on 6T SRAM cells, J. Adv. Radio Sci., 2007. [5] T. Miwa, J. Yamada, and H. Koike, A 512 Kbit low voltage NV-SRAM with the size of a conventional SRAM, in Symposium VLSl Circuits, pp. 129 132, 2000. [6] Ho, Y., Chang, C., & Su, C., Design a sub-threshold supply boot strapped CMOS inverter based on an active leakage-current reduction technique, IEEE Transactions on Circuits and Systems, vol. 59, pp. 55 59, 2012. [7] Naagesh. S. Bhat, Design and Modelling of Different SRAM s Based on CNTFET 32nm Technology, International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012. [8] Shilpi Birla, Neeraj Kr.Shukla, Manisha Pattnaik and R.K.Singh, Analysis of the Data Stability and Leakage Power in the Various SRAM 4398

Cells Topologies, International Journal of Engineering Science and Technology, vol. 2, pp. 2936-2944, 2010. [9] Neeraj Kr. Shukla, Debasis Mukherjee, Shilpi Birla and R.K.Singh, Leakage Current Minimization in Deep Submicron Conventional Single Cell SRAM, International Conference on Recent Trends in Information, Telecommunication and Computing, IEEE, pp. 381-383, 2010. [10] Shilpi Birla, Neeraj Kr. Shukla, Debasis Mukherjee and R.K.Singh, Leakage Current Reduction in 6T Single Cell SRAM at 90nmTechnology, International Conference on Advances in Computer Engineering, IEEE, pp. 292-294, 2010. Sandhya Patel was born in Deori (India) on 4th July 1991. She received her B.E. from Rajiv Gandhi Technical University, Bhopal. At present she is pursuing M.Tech in VLSI Design from Infinity management & engineering college, Sagar on the topic of MOSFET based SRAM cell Design. Her research interests are VLSI Design and Low Power Memory Cell. Somit Pandey was born in India. He received his M.Tech from NIIST, Bhopal. He is currently working as Assistant Professor in Electronics & Communication Engineering Department of Infinity management & engineering college, Sagar. 4399