Advanced Packaging For Mobile and Growth Products

Similar documents
TechSearch International, Inc.

Multi-Die Packaging How Ready Are We?

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

TechSearch International, Inc.

Advanced Flip Chip Package on Package Technology for Mobile Applications

Packaging Innovation for our Application Driven World

Bringing 3D Integration to Packaging Mainstream

Ultra Fine Pitch RDL Development in Multi-layer ewlb (embedded Wafer Level BGA) Packages

3-D Package Integration Enabling Technologies

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Packaging Technology for Image-Processing LSI

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

TechSearch International, Inc.

Advanced Wafer Level Technology: Enabling Innovations in Mobile, IoT and Wearable Electronics

Advanced Heterogeneous Solutions for System Integration

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES

Packaging Challenges for High Performance Mixed Signal Products. Caroline Beelen-Hendrikx, Eef Bagerman Semi Networking Day Porto, June 27, 2013

ARCHIVE 2008 COPYRIGHT NOTICE

Interposer Technology: Past, Now, and Future

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

FO-WLP: Drivers for a Disruptive Technology

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Material technology enhances the density and the productivity of the package

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Stacked Silicon Interconnect Technology (SSIT)

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

Advancing high performance heterogeneous integration through die stacking

3DIC & TSV interconnects

IMEC CORE CMOS P. MARCHAL

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group

Shaping Solutions in Advanced Semiconductor Assembly and Test. Pranab Sarma, Product Engineering Manager

E. Jan Vardaman President & Founder TechSearch International, Inc.

Xilinx SSI Technology Concept to Silicon Development Overview

SYSTEM IN PACKAGE AND FUNCTIONAL MODULE FOR MOBILE AND IoT DEVICE ASSEMBLY

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology

Burn-in & Test Socket Workshop

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation

Ultra Thin Substrate Assembly Challenges for Advanced Flip Chip Package

3DIC & TSV interconnects business update

SEMI 大半导体产业网 MEMS Packaging Technology Trend

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE

From Advanced Package to 2.5D/3D IC. Amkor Technology : Choon Lee

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System

3D technology for Advanced Medical Devices Applications

The Rejuvenation of the Semiconductor Industry Ride the New Wave

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D & Advanced Packaging

2.5D FPGA-HBM Integration Challenges

New Technology Release Huatian-Sumacro Embedded Silicon Fan-out (esifo ) Technology and Product Dr. Daquan Yu Huatian Group

Next Generation Package on Package

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

TechSearch International, Inc.

The Evolution of Multi-Chip Packaging: from MCMs to 2.5/3D to Photonics. David McCann November 14, 2016

----- Meeting Notes (10/8/13 10:34) The mobile market is driving growth and inovation in packaging.

Thermal Management Challenges in Mobile Integrated Systems

Vinayak Pandey, Vice President Product & Technology Marke8ng. May, 2017

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Technology and Manufacturing

3D technology evolution to smart interposer and high density 3D ICs

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Fine Pitch High Bandwidth Flip Chip Package-on-Package Development

Heterogeneous Integration and the Photonics Packaging Roadmap

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Embedded UTCP interposers for miniature smart sensors

inemi Roadmap Packaging and Component Substrates TWG

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

A Highly Integrated and Comprehensive SiP Solutions for IoT

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

TechSearch International, Inc.

ARCHIVE Brandon Prior Senior Consultant Prismark Partners ABSTRACT

Advanced Wafer Level Chip Scale Packaging Solution for Industrial CMOS Image Sensors Jérôme Vanrumbeke

Chapter 1 Introduction of Electronic Packaging

TABLE OF CONTENTS III. Section 1. Executive Summary

2.5D interposer, 3DIC and TSV Interconnects Applications, market trends and supply chain evolutions

An integrated solution for KGD: At-speed wafer-level testing and full-contact wafer-level burn-in after flip chip bumping

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Fine Line Panel Level Fan-Out

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Chapter 0 Introduction

Thermal Management of Mobile Electronics: A Case Study in Densification. Hongyu Ran, Ilyas Mohammed, Laura Mirkarimi. Tessera

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Package (1C) Young Won Lim 3/20/13

September 13, 2016 Keynote

All Programmable: from Silicon to System

New Era of Panel Based Technology for Packaging, and Potential of Glass. Shin Takahashi Technology Development General Division Electronics Company

Transcription:

Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC

Growing Needs for Silicon & Package Integration Packaging Trend Implication to OSAT Computing US$125.4B - 2010 US$136.1B 2013 2.8% CAGR Communication US$70.3B - 2010 US$80.9B 2013 4.8% CAGR Converged Market Mobile Computing Convergent Products US$28.8B 37.5% CAGR 2D SoC QFN, FBGA, fcbga, fcfbga, ewlb, WLCSP 3D SIP PoP/PiP, FBGA-SD, ISM, Module Pressure on packaging cost Needs for best valued BOM More flip chip & WLP (capital intensive) Yield & cycle time Die thinning & stacking Advanced multi-tiers wire bonding Passives discrete handling or IPD Yield & cycle time 3D IC F/BGA-TSV-SD, PoP- TSV, ewlb, IPD Capital intensive Silicon interface know-how 2.5D IC using Si interposer Yield & cycle time New converged applications require more complex & customized packaging solutions 2

Heterogeneous integration; advanced 3D PKG solutions Micro Electronics Die Area Reduction MEMS RF Photonics CMOS IC to 22nm & Beyond IC & 3D Module & 3D IC Pkg: ewlb/ Fanout Wafer Level PKG 3D IC Systems with TSV Sensors Design Nano-Materials & Components System Interconnections Thermal Consumer Electronics Energy Automotive Healthcare Computing & Communications 3

Drivers for Mobile /Portable Device Packaging Size (area and thickness) Performance Cost Standardized Reliability Environmental 4

More and More WLCSPs in mobile phones WLCSP (wafer level CSP) Many Billions of Packages Shipped Established worldwide Industry Infrastructure Lead free & MSL1 I/O ranging 12 144 Smart Phone WLCSP Statistics Apple iphone 48 dies - 21% are WLCSP RIM Blackberry Storm 42 dies - 29% are WLCSP Nokia 95 50 dies - 50% are WLCSP 5

Advanced Wafer Level Integration Technology Evolution LEADED PACKAGING ARRAY PACKAGING EMBEDDED & 3D PACKAGING Advanced node compatibility Large Scale Low cost ewlb 3D TSV ICs Higher integration Performance Reliability Design Flexibility TSV Interposer 6

Evolu&on of 3D Packages TSV stacked 8G DDR3, 2011 Integration, Density, Performance (24 die-stack) Stacked Die Stacked Packages PoP (ewlb) & PiP Ultra low profile ewlb+mlp Stacked die 2.5D/3D TSV 3D ewlb TSV interposer 2011 Production Development Manufacturing Maturity 7 7

Portable/Mobile Electronics- Package Trends - Stacked Die Packages - Package on Package (PoP) - ewlb / FO-WLP / EDS - Through Silicon Vias (TSV) 3D Chip Packaging Solutions SiP System in package SoP System on package (High Density Heterogeneous / Modular Chips Integration) SoC System on chip (Single Chip- Chip Level Integration) Chip packaging compatibility with SMT assembly process 3S Reliability 3S Assembly process, material and rework 8

Silicon Interposer Delivers High Interconnect Density 9

ewlb and Wafer Level Packaging Technology 10

Driving Forces to Wafer Level Package Cost Packaging cost Test cost Batch processing High parallelism Improved test concepts Smallest package heights Minimum lateral area Dimensions Package height (ò ) Lateral dimensions (ò ) I/O Density Pitches (ò ) Min pitches No standards Small chips/ high no. of I/Os Wafer Level Packages Min line length Multilayer RDL Reduced no. of interconnects Electrical Performance Interconnect line length (ò ) Operating frequencies (ñ ) Package Speed (ñ ) Parasitics Functionality (Integration) Integrated Passives (R, L, C) System in Package 3D Integrated passives in RDL SiP/ 3D capability Improved chip to board coupling Thermal Performance Power consumption (ñ ) Package Density (ñ ) 11

What is ewlb? A new Wafer Level Packaging technology, utilizes well developed wafer bumping infrastructure, with an innovative wafer reconstitution process to package Known Good Dice. Wafer level package, uses mold compound to support the fan-out I/Os. Fan-In WLP PKG size = Chip size ewlb/fan-out WLP PKG size > Chip size chip chip Fan-In Interconnects only - Number and pitch of Interconnects must be adapted to the chip size Only Single chip packaging solution ewlb expands the application space for Wafer Level Packaging! 12 Fan-out Interconnects - #, Pitch of Interconnect is INDEPENDENT of chip size Single/Multi/3D chip packaging solution Improved Yield with KGD

Advantages of ewlb Technology Thinner & smaller package solution Ideal for mobile applications and meeting future roadmaps Package robustness (vs. LF flip chip + advanced fab nodes with ELK) Cu/ELK compatible packaging technology (65nm in HVM, 40nm in Qualification) Green packaging (Generic Pb-free, Halogen free) Superior board level reliability proven for mobile applications Proven lower cost path using a batch process & simpler supply chain Next generation ewlb technology with 3D ewlb joint development No substrate or bumping; Simple logistics and supply chain 13 Solder ball Cu-RDL Si Chip EMC

ewlb Products Portfolio 2D ewll 2.5D / Extended ewlb ewlb- MLP 14

Next Generation ewlb 10 m/10 m line width and line spacing Thin packaging solution (<0.5mm) Plated Cu RDL 10 m 250 m thin ewlb for more design and routing flexibility Embedded Passives 3D (double-side) ewlb Multi-die ewlb 15

Multi-Die ewlb (Side-by-side) 3-die ewlb PKG: 12x12sqmm, 0.5mm ball pitch Die : 3-die, 2 (3x3mm) + 3x4mm) I/O Count: 396 1-L RDL 2-die ewlb PKG: 12x12sqmm, 0.4mm ball pitch Die: 4x4mm (2 dies) I/O Count: 580 2-L RDL Reliability Test Pre-condition Test Condition Read-out Pass Multiple Reflow - LF bumps @ 260 o C 5x, 10x, 20x passed Unbiased HAST MSL1 130 o C, 85%RH 96hr passed Temperature Cyc ling MSL1-55 o C/125 o C (G) 500x, 850x passed 2-die ewlb PKG: 8x8 sqmm, 0.5mm ball pitch Die : 2-die, 2 (5x2.5 mm) I/O Count: 192 1-L RDL High Temp. Stor age MSL1 150 o C 500, 1000hr passed TCoB -40/125C 500x passed Drop test Nokia Spec - passed Highly integrated SiP or side-by-side packaging solution with multidie. Can be integrated with IPD, RDL passives or discrete MLCCs. 16

Double-side 3D ewlb Package Specification PKG: 12x12sqmm, 0.5mm ball pitch Die : 3-die, 2 (3x3mm) + 3x4mm) I/O Count: 396 1-L RDL (Top and Bottom both) Thickness 450um / 250um Passed JEDEC component level and board level reliability tests 1.2mm 250um Thin Bottom 3D PKG 450um 250um <1.0mm Low profile 3D ewlb PoP (12x12mm PoP-bottom package) with less than 1mm thickness including solder balls. 17 Picture of 3D ewlb PoP packages; Total less than 1.0 mm package height (including solder balls)

Ultra Low Profile ewlb PoP: ewlb-mlp (embedded Wafer level Molded Laser PoP) ewlb MLP ewlb-mlp Thin POP (250um pkg body thickness) Low warpage during solder reflow cycles Larger Si die cavity Flexibility in memory interface High routing density: L/S=10/10 (um) Compatible with ELK Good thermal performance Q JA 18~22( o C/W) Q JB 3~7( o C/W) for 12x12mm ewmlp) 18 Memory + Si RDL

ewlb : Themo-Moire, High Temperature Warpage Measurement fcvfbga,7x7mm, 191LD NSP PKG height 0.95 mm Die 4.46 x 5.65 x 0.19 mm ewlb 8x8mm, 182I/O PKG height 0.7 mm Die 5 x 5 x 0.45 mm 19

TSV Technology 3D IC TSV 2.5D Interposer 20

TSV Technology for mobile devices (Logic + Memory) Wide I/O memory interface in mobile - Examples: AP+DRAM or AP/BB combo+dram in mobile devices High Performance Devices High electrical performance solution : Increasing number of I/Os Power effective solution : Minimized output loading with CtC connection TSV for shorter interconnection length for better performance Micro-bump Micro-bump bonding (50/40um pitch): Thermal Compression with NCP Thin wafer handling and packaging TSV 21

TSV Technology for high performance processors: Examples: GPU + DRAM, MPU + DRAM, Logic + Analog, sliced FPGA integration Lower cost (High yield) & higher performance For embedded memory; Nominal LSI Memory capacity 2X per node edram shrinks < 40% per node Total memory area grows node to node edram capacity (Mb) needs 130nm 90nm 65nm 45nm De-couple functional blocks in SoC (analogue, memory, I/O, RF, ) Heterogeneous integration in Pkg 2D - SoC 2.5D TSV interposer 3D TSV in Device 22

Thermo-compression Bonding Thermo-compression Bonding (TCB) of 40um pitch with Cu column and NCP (non-conductive paste) Chip-to-Chip 40/50um pad pitch with ENIG pad finish on Cu pad Chip-to-Substrate 40um pitch Cu column on Substrate 23 No void observed after TCB with NCP)

TSV Silicon Interposer (TSI) Technology Provide High Complexity, High Performance ASICs with Dependable Predictability Technology Disaggregation Optimization of Silicon Technology for Individual IP Higher Levels of System Integration Wider Product Capability Offering to Customers Lower Total System Power 24

TSV Silicon Interposer (TSI) Technology - Con&nued External Memory Solutions Increase Memory Options for Customers Increase Memory Storage per ASIC Low Power and Higher Bandwidth Leverage Industry Standard Memories Die Separation Decrease Yield Losses of Large Die Decrease Yield Losses Early in 25

Func&onal Par&&oning with TSI Heterogeneous Integration Silicon interposer advantages Reduced die complexity Mixed technologies Source: Xilinx 2.5D FPGA 26

2.5D and 3D will Coexist? 3D especially with TSVs is a revolutionary change requiring significant infrastructure additions. 2.5D allows use of mixed interconnect ICs from different sources and is evolutionary. 2.5D may become as essential within a package assembly as underfill epoxy for both single chips and stacked 3D chips. 2.5D is not limited to silicon glass and even organic solutions provide economical benefits in applications where silicon is inappropriate. 27

Summary Wafer level packaging is a key technology enabler for future heterogeneous integration. Next generation and 3D ewlbs would be a good solution for future needs in mobile/portable applications, such as PoP and 3D SiP. With scale-up and higher throughput, large panel approach is next step for further market-penentration as cost-effective packaging solutions. TSV technology is critical for continued evolution of semiconductor industry. TSV interposer technology is one of early application of TSV technology. As with all new technologies for 2.5D/3D TSV wafer level integration; Product applications & drivers are critical. Alternative technology, ewlb technology, wafer level packaging solution enabling 3D wafer level integration with low cost solution. More collaborative cost/ performance analysis across FE-BE FAB, EDA, Assembly, Reliability, Metrology & Test are needed for successful TSV biz establishment. 28

Thank You