Cadence Verilog Simulation Tutorial Mark L. Chang Last revision: September 18, 2005 Cadence version: Cadence IUS s011

Similar documents
Cadence NC-Verilog Simulator Tutorial. Product Version 5.1 September 2003

CADENCE TUTORIAL. San Diego State University, Department of Electrical and Computer Engineering. Amith Dharwadkar and Ashkan Ashrafi

EE4702 Informal Cadence Verilog Simulation Guide

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

Lab 1.5 (Warmup): Synthesis Workflow and SystemVerilog Register File Not Due

PLD Design Flow: GAL, Verilog

CPE/EE 427, CPE 527, VLSI Design I: VHDL design simulation, synthesis, and ASIC flow, Laboratory #8,

The purpose of this tutorial is to introduce you to the Construct 2 program. First, you will be told where the software is located on the computer

Simulate the Design using the XSim Simulator

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Compile your code using ncvhdl. This is the way to compile comp_const.vhd:! "#$ %" #&'

USING THE SHARE POD. Share My Screen allows you and your guests to share your desktop live with an audience. It is useful for:

1 Introduction to MARS

Introduction to the M16C Development Environment

Tutorial 1 Exploring ArcGIS

Today s Training Agenda. MMS website: FMAX website:

Final Cut Pro: Intro How to Make a DVD from a mini-dv tape

CIS 231 Windows 10 Install Lab # 3

Creating Vector Shapes Week 2 Assignment 1. Illustrator Defaults

Active-HDL. Getting Started

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

Locate your Advanced Tools and Applications

S Pspice Hints 2014 Switch mode power supplies Page 1/11

HOW TO BUILD YOUR FIRST ROBOT

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011

DEPARTMENT OF POST-SECONDARY EDUCATION, TRAINING AND LABOUR USER GUIDE. The Collective Agreement Retrieval System (CARS)

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017

Eclipse Environment Setup

How to Use issuu. What is issuu? How do I view a Buddy-to-Buddy publication on issuu?

RESETTING MYSQL ROOT PASSWORDS

TLMC SHORT CLASS: THESIS FORMATTING

EE 330 Laboratory Experiment Number 11

Tutorial: Working with the Xilinx tools 14.4

Jumpstart Tutorial for Android

How to open espc. Click on this link to open espc. You can download a detailed user guide here. SVG viewer must be installed on your PC

Introduction to Xilinx Vivado tools

Appendix B. Install Microsoft Personal Web Server

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

How to design and print cards using a database connection with. emedia CS Software

Magic Tutorial #11: Using IRSIM and RSIM with Magic

e-snaps Online Training Navigation Tutorial

Here are the steps in downloading the HTML code for signatures:

Netlist Viewer User's Guide

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

XnView 1.9. a ZOOMERS guide. Introduction...2 Browser Mode... 5 Image View Mode...15 Printing Image Editing...28 Configuration...

Simulating Visual IP Models with the NC-Verilog, Verilog-XL, VCS, or ModelSim (UNIX) Simulators

Cadence Verilog Tutorial Windows XP machine with Exceed X Emulator

Notices. DFARS/Restricted Rights Notice. Warranty. Technology Licenses. Document History

Getting Started with Xilinx WebPack 13.1

FaxFinder Fax Servers

RTMS - Software Setup

Exercise 8a - Creating Connectors on an Airplane Fuselage

TxEIS on Internet Explorer 8

CAMERA User s Guide. They are most easily launched from the main menu application. To do this, all the class files must reside in the same directory.

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

SimVision: Using the Source Browser. Product Version 15.2 February 2016

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

BugHunter Pro and the VeriLogger Simulators. Copyright 2007, SynaptiCAD, Inc.

User Guide. SolAce by AXIOM Systems, Inc

mecn_apn_access_2014_v2.docx 1/13/2014 9:14:45 AM Page 1 of 29

STA 303 / 1002 Using SAS on CQUEST

Laboratory Assignment #4 Debugging in Eclipse CDT 1

ECE QNX Real-time Lab

Jerry Cain Handout #5 CS 106AJ September 30, Using JSKarel

ADPRO FastTrace 2 USER GUIDE. Provided By:

How to View a Video CHAPTER

EDITING GUIDE (EDIT SUITES)

SAS Mobile BI 8.1 for Windows 10: Help

PhotoPDF User Guide. PhotoPDF. Photo to PDF Converter

User Guide Release 6.5.1, v. 1.2

TRAINING GUIDE. Tablet Cradle to Mobile GIS

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

USB 2.0 Video/Audio Grabber User s Guide

EDGE, MICROSOFT S BROWSER

Getting Started (New Accounts)

EECS 470 Lab 1 Assignment

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

Kona ALL ABOUT FILES

MyDispense User Guide

Vivado Design Suite User Guide

Tutorial 7. Working With Excel s Editing and Web Tools. Review

How-to Uninstall DivX Browser Bar and Conduit Search

ACDSee 2009 tutorials : Fixing red-eye

ISim In-Depth Tutorial. UG682 (v13.4) January 18, 2012

Fish Eye Menu DMXzone.com Fish Eye Menu Manual

CSE 101 Introduction to Computers Development / Tutorial / Lab Environment Setup

Figure 1. Simplicity Studio

XnView Image Viewer. a ZOOMERS guide

Using Moodle activities: Wiki

Tutorial: GNU Radio Companion

IT Essentials v6.0 Windows 10 Software Labs

RTL Design and IP Generation Tutorial. PlanAhead Design Tool

Managing your content with the Adobe Experience Manager Template Editor. Gabriel Walt Product Manager twitter.com/gabrielwalt

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

Here we will look at some methods for checking data simply using JOSM. Some of the questions we are asking about our data are:

Bucknell University Digital Collections. LUNA Insight User Guide February 2006

Transcription:

Cadence Verilog Simulation Tutorial Mark L. Chang Last revision: September 18, 2005 Cadence version: Cadence IUS 05.41-s011 This tutorial was originally written for ENGR 3410, Computer Architecture. It may apply to any course using the Olin-licensed Cadence IUS/LDV simulation tools. 1) Install Cadence IUS as per the IT instructions (can be found on our wiki or on the IT web site). That means install Cadence IUS by running setup.exe from the following directory: \\stuapp\netapps\cadence\ius54qsr2_wint.update\cdrom1\setup.exe a. You will be installing the IUS tools. You will not be installing the license manager. Uninstall all previous versions of the IUS tools first. b. Follow all obvious prompts and install the obvious/defaults. I have already installed this software at the time of this tutorial, so I do not have screenshots. Sorry. c. The license manager should already be set if you have installed other Cadence software (for instance, PSPICE). If not, the license manager should be set to 5280@STUAPP. d. Restart if instructed. e. The Cadence IUS tools are now in your Start Menu under Cadence Design Systems.

2) This tutorial assumes you have some Verilog to simulate. We will present the example of the first lab in ENGR 3410, which is a MIPS register file. Organize your Verilog source and tests into one directory and start the program called NCLaunch. If asked, choose single-step simulation. You should then be presented with the following window: 3) Select File > Set Design Directory 4) From the pop-up window, select the for the design directory and navigate to where your source Verilog is stored. Now, you will see all your files in the left pane. 5) Now, choose the Verilog test bench file that you want to simulate and click the right arrow button in the center of the window to move that file to the Design

panel. 6) Now, on the right, select the file you want to simulate. Do not check the check box. I have no idea what that check box does. And then click the paper airplane icon in the toolbar. 7) SimVision should launch when you press the paper airplane icon. The default window layout consists of a Design Browser window: and a Console window:

We will be working mostly with the Design Browser window. 8) In the Design Browser window, you will see a hierarchical representation of your module in the left pane. Clicking on the first (highest-level) module will display all the signals at that hierarchy level in the right pane:

9) To add all these signals to the waveform viewer, click on the Send To: Waveform window button: 10) This will open the Waveform viewer that looks like the screenshot below. To run your simulation, click the Play button.

11) The Play button runs your simulation to the $finish statement. It could take some time. Keep an eye on your processor load. When it is finished, you should see traces for all the signals that you selected to probe: a. The signal window will just be zoomed in at the very beginning, and likely you ll want to zoom out. To zoom out to see everything, click on the magnifying glass with an equals sign in it (highlighted above). b. To add signal probes into lower levels of hierarchy, simply click on the arrow marked in blue above. This opens a pane with the module hierarchy. c. Here you can select modules down in the hierarchy, and pick signals to watch. When you click on signals in the lower left-hand pane, they immediately go to the waveform window.

12) Unfortunately, these signals were probably not monitored during the initial simulation. You must reset the simulation and re-run it to collect these values. To do that, simply click on the rewind button next to the play button, and then begin the simulation again. 13) If you make a change to your design, you will need to reinvoke the simulator. To do so, select Simulation > Reinvoke Simulator. This should re-compile the dependent code you changed, re-elaborate the necessary modules, and reinvoke the simulator on the new snapshot. 14) Notes: a. If you ask the simulator to simulate a lot of low-level signals, it will take forever. Your CPU will hit 100% utilization, and the simulation will seem to lock up. In those cases, I have usually just forcibly quit the application from the Windows Task Manager and restarted the simulation with a more selective set of signals. b. Sometimes reinvoking the simulator does not work right. It has thrown various errors when it doesn t work, none of which seem logical. If all else fails, I simply start over. c. Often, processes will start that lock the design directory even after all Cadence programs seem to be closed. Most likely there is a process observer.exe running that needs to be killed to free the directory lock. d. You must be connected to the Olin network in order to use the Cadence simulation tools. The license server is a network machine (STUAPP) and must be reachable. This works reliably over VPN. 15) All of this can be done from the command line as well. a. Execute ncverilog <filename> to do a text-only simulation. b. Execute ncverilog +gui <filename> to do a GUI simulation.