On GPU Bus Power Reduction with 3D IC Technologies

Similar documents
On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective

A Design Tradeoff Study with Monolithic 3D Integration

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias

Monolithic 3D IC Design for Deep Neural Networks

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout

Three DIMENSIONAL-CHIPS

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

L14 - Placement and Routing

Physical Design of a 3D-Stacked Heterogeneous Multi-Core Processor

High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology

Thermal-Aware 3D IC Physical Design and Architecture Exploration

UCLA 3D research started in 2002 under DARPA with CFDRC

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

Design and Analysis of 3D IC-Based Low Power Stereo Matching Processors

940 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 3, MARCH 2015

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

Power-Supply-Network Design in 3D Integrated Systems

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

EE582 Physical Design Automation of VLSI Circuits and Systems

Unleashing the Power of Embedded DRAM

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Eliminating Routing Congestion Issues with Logic Synthesis

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

3-D INTEGRATED CIRCUITS (3-D ICs) are emerging

A Low Power 720p Motion Estimation Processor with 3D Stacked Memory

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Full-Chip Through-Silicon-Via Interfacial Crack Analysis and Optimization for 3D IC

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

ASIC Physical Design Top-Level Chip Layout

Estimation of Wirelength

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

MOORE s law historically enables designs with higher

Very Large Scale Integration (VLSI)

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

Reducing DRAM Latency at Low Cost by Exploiting Heterogeneity. Donghyuk Lee Carnegie Mellon University

ECE 486/586. Computer Architecture. Lecture # 2

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Fast Delay Estimation with Buffer Insertion for Through-Silicon-Via-Based 3D Interconnects

FUTURE processors implemented in deep submicrometer. Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs

Spiral 2-8. Cell Layout

Placement Strategies for 2.5D FPGA Fabric Architectures

Tier Partitioning Strategy to Mitigate BEOL Degradation and Cost Issues in Monolithic 3D ICs

CSE 599 I Accelerated Computing - Programming GPUS. Memory performance

3-D integrated circuits (3-D ICs) have emerged as a

Cluster-based approach eases clock tree synthesis

The Memory Hierarchy 1

OVERCOMING THE MEMORY WALL FINAL REPORT. By Jennifer Inouye Paul Molloy Matt Wisler

AS TECHNOLOGY scaling approaches its limits, 3-D

PLACEMENT OF TSVS IN THREE DIMENSIONAL INTEGRATED CIRCUITS (3D IC) College of Engineering, Madurai, India.

Reducing Hit Times. Critical Influence on cycle-time or CPI. small is always faster and can be put on chip

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

AMchip architecture & design

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

Packaging Technology for Image-Processing LSI

Digital VLSI Design. Lecture 7: Placement

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA

An Overview of Standard Cell Based Digital VLSI Design

OpenAccess In 3D IC Physical Design

LPRAM: A Novel Low-Power High-Performance RAM Design With Testability and Scalability. Subhasis Bhattacharjee and Dhiraj K. Pradhan, Fellow, IEEE

The Impact of Wave Pipelining on Future Interconnect Technologies

The Design of the KiloCore Chip

ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 7: Memory Organization Part II

How Much Cost Reduction Justifies the Adoption of Monolithic 3D ICs at 7nm Node?

4. Networks. in parallel computers. Advances in Computer Architecture

Tiered-Latency DRAM: A Low Latency and A Low Cost DRAM Architecture

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

Addendum to Efficiently Enabling Conventional Block Sizes for Very Large Die-stacked DRAM Caches

Bus-Aware Microarchitectural Floorplanning

Slide credit: Slides adapted from David Kirk/NVIDIA and Wen-mei W. Hwu, DRAM Bandwidth

Bringing 3D ICs to Aerospace: Needs for Design Tools and Methodologies

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

HRL: Efficient and Flexible Reconfigurable Logic for Near-Data Processing

Comprehensive Place-and-Route Platform Olympus-SoC

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

SYNTHESIS FOR ADVANCED NODES

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Design is neither a form alone or function alone, it is aesthetic synthesis of the both. Ferdinand Porsche

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric.

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Test-TSV Estimation During 3D-IC Partitioning

Transcription:

On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The complex buses consume significant power in graphics processing units (GPUs). In this paper, we demonstrate how the power consumption of buses in GPUs can be reduced with 3D technologies. Based on layout simulations, we found that partitioning and floorplanning of 3D s affect the power benefit amount, as well as the technology setup, target clock frequency, and circuit switching activity. For 3D technologies using two dies, we achieved the total power reductions of up to 21.5% over a baseline 2D design. group Core in queue out queue neighbor Cores (b) Core instruction load/store unit I. INTRODUCTION The ever-increasing performance demand for mobile devices and slowly improving battery technology calls for highly power efficient designs. Today, consumers are expecting more engaging visual experience on mobile products such as cell phones and tablets that require cutting-edge graphics processing units (GPUs). To satisfy such needs, on top of the technology node scaling, industry is heading towards 3D s for better power efficiency. The through-silicon-via (TSV) technology enables multiple die stacking with low parasitic loss, and the fabrication technology is getting mature. The core+memory stacking [1] is considered as a good 3D application for mobile application processors. In addition, 3D stacked DRAM chips are power-efficient [2]. Then, the next target for 3D stacking is to divide the core part, including GPUs, into multiple dies. In state-of-the-art GPUs, many small computation cores share a register file and cache memories through extensive use of buses. To further improve the power efficiency, it is essential to reduce the power consumed in these buses. The major contributions of this work are as follows: To the best of our knowledge, this is the first work to present the power benefit of 3D technology for GPUs. We demonstrate how floorplan affects design quality for 2D and 3D designs. For 3D, different partitioning methods are applied to study the trade-off between TSV count and power benefit. We demonstrate how 3D technology settings affect design quality. We compare three 3D technology options: face-to-back bonding with large TSVs and small TSVs, and face-to-face bonding. We analyze the power benefit amount based on detailed layouts and sign-off analysis. Factors affecting power This research is supported by Intel Corporation under the Semiconductor Research Corporation (SRC), Task 2293.001. 978-3-9815370-2-4/DATE14/ c 2014 EDAA (a) main blocks Core (c) Core to bus Fig. 2. Block diagram of our GPU. In (c), only 4 out of 8 Cores and s in a group are shown for the simplicity of illustration. benefit amount, such as clock period and circuit switching activity, are explored. A. Overall GPU Architecture II. 2D DESIGN Our GPU architecture consists of four basic modules as shown in Fig. 1: Core, register file (), instruction cache (), and data cache (). In Fig. 2(a), the entire 64-core architecture is divided into 8 groups. A group contains 8 Cores and one eighth of the entire,, and. Per each cycle, all Cores in a group access an instruction from and data from or within the group. As shown in Fig. 2(b), our Core is based on a simple architecture with a computation path and a load/store path. Instructions sent from control the computation block and the load/store unit. The data for computation is delivered to the in queue, either from or directly from load/store unit. The output of computation is temporarily stored in out queue, which may be sent back to,, or forwarded to neighbor Cores through dedicated forwarding paths. The Cores in the group shares the and. For example, as shown in Fig. 2(c), each Core has the access to any banks in the group, and vice versa. The bus architecture is a switch matrix implemented by multiplexers (MUXes). The bus width of the connections including data and control is as follows: core-to- = 249, core-to- = 73, core-to- = 79, and core-to-core = 66. Our GPU architecture is summarized in Table I. The baseline clock frequency is 667MHz.

core register file instruction cache Fig. 1. GDSII layouts of our GPU modules. data cache Core B. 2D Floorplan TABLE I SUMMARY OF OUR GPU ARCHITECTURE. a pipelined computation unit, a load/store unit, and a forwarding logic total 8KB, divided into 64 sub-banks, 4 read / 3 write ports per each bank total 96KB, divided into 64 sub-banks total 256KB, divided into 256 sub-banks In this research, we perform so called RTL-to-GDSII flow for all designs. We customize Synopsys 28nm library [3] for our GPU designs. We synthesize the register-transfer-level (RTL) code into a netlist and perform floorplan, placement, pre-route optimization, routing, and post-route optimization, followed by full-chip static timing analysis (STA) and power analysis. When the design is big with lots of blocks, floorplan affects overall design quality much. The aforementioned buses connect blocks far apart, thus it is essential to find a good floorplan. We explore the design space of floorplan for our baseline 2D design. Our GPU design contains lots of macro blocks with rather regular connectivity. For such a design, it is customary to perform manual floorplans. We performed floorplans using the automated floorplanner in a commercial layout tool, however the design quality was unsatisfactory. Considering the buses among blocks, we created three floorplans that are shown in Fig. 3: Tile-Based (2D-TB): A Core, an, an, and four subbanks of are clustered to form a tile. Then, the tiles are placed in 8x8-grid fashion. Semi-Clustered (2D-SC): The Cores, s, s, and s in a group are clustered together. The Cores, s, and s are placed in a column, while s are placed on the left side. Fully Clustered (2D-FC): All Cores, s, s, and s are clustered together by the kind. Layout results for the floorplan options are summarized in Table II. The bus means the MUXes and buffers/inverters for inter-block buses. Memory macros mean s, s, and s. The buffer means buffers and inverters. All designs closed the timing. Since the buses connect all Cores to all s (and s and s), it is better to group the blocks of a same kind together to reduce the wirelengths of buses. In 2D- TB, since all blocks of a same kind are spread apart, the bus length becomes longer. In 2D-FC, all buses were laid out in the column direction, causing severe routing congestions. Thus, we widened the floorplan to resolve the congestion. Compared with 2D-FC, in 2D-SC, Core- buses lie in the row direction and hence mitigate congestions. From the wirelength, buffer count, and net power of buses, it is clear that 2D-SC is the best floorplan. Note that in 2D-SC, the net power of buses (bus-net) is 33.4% of the total power, which is a significant amount; by folding the buses into 3D, we mainly reduce this bus-net power. All Cores and memory macros (s, s, and s) consume 25.7% and 33.7% of total power, respectively. The power breakdown for 2D-SC is summarized in Table III. About 40.8% of the total power is used for buses, which is a significant amount. This is because the size (length and width) of the bus structures in our GPU is considerably large. Thus, it is important to reduce the bus power. Interestingly,

Core 218x138 101x55 79x91 98x80 tile group 4100x2000 (a) Tile-based 4100x2000 (b) Semi-clustered 2615x3397 (c) Fully-clustered Fig. 3. Floorplans for 2D. Skyblue dots represent standard cells for buses. Numbers represent dimensions in µm. TABLE II LAYOUT COMPARISON AMONG 2D FLOORPLANS. 2D-TB 2D-SC 2D-FC footprint (mm 2 ) 8.20 8.20 8.88 wirelength (m) total 53.62 46.47 53.87 all buses 43.96 36.80 44.20 all Cores 9.66 9.66 9.66 cells bus-mux 118 118 118 (thousand) bus-buffer 252 206 237 all Cores 415 415 415 power (W ) total 1.855 1.679 1.935 bus-cell 0.062 0.050 0.079 bus-net 0.695 0.561 0.755 bus-leakage 0.093 0.074 0.101 all memory macros 0.565 0.565 0.565 all Cores 0.439 0.431 0.436 Die 1 Die 0 face back silicon substrate (a) face-to-back Fig. 4. devices metal layers face back metal top metal u-bump TSV face (b) face-to-face Die bonding styles for TSV-based 3D s. Die 1 Die 0 TABLE III POWER BREAKDOWN FOR 2D-SC. total power bus power all memory buses cell net leakage Cores macros percent 25.6% 33.6% 40.8% 7.3% 81.9% 10.8% about 81.9% of the bus power is consumed for net (switching) power. This justifies our focus on the bus power reduction, because the reduced bus lengths in 3D would lead to a significant total power reduction. III. 3D DESIGN A. Underlying 3D Technology In this research, we design the GPU in 3D s using two dies. As shown in Fig. 4, there are two kinds of die bonding styles for 3D s: face-to-back (F2B) and face-to-face (F2F). In F2B bonding, the top metal pad of a die is bonded to the back metal pad of an adjacent die. The 3D connection density is limited by the TSV pitch. In contrast, for two die designs, F2F bonding does not require TSVs for inter-die communications, because the inter-die connections are made by top metal pads. Our 3D interconnect settings are summarized in Table IV. Note that we have two settings for TSVs: TSV-large and TSVsmall. Resistance values include contact resistance, and the capacitance of TSV is calculated by the modeling [4] with the oxide liner thickness of 0.1 and 0.05µm for TSV-large and TSV-small. The diameter and pitch of our F2F pad is twice the minimum top metal (M8) width and pitch. Comparing the pitch numbers, we see that the F2F bonding provides the highest 3D interconnect density.

TABLE IV 3D INTERCONNECT SETTINGS. TSV PITCH INCLUDES KEEP-OUT ZONE. netlist name diameter height pitch R C (µm) (µm) (µm) (Ω) (ff ) TSV-large 3.0 20 5.016 0.5 10.0 TSV-small 1.0 10 1.672 0.5 3.1 F2F pad 0.448 0.380 0.912 0.1 0.2 partition Die 0 Die 1 floorplan floorplan placement pre-route opt. placement pre-route opt. 3D timing & power analysis design constraint generation Fig. 5. B. Design and Analysis Flow route post-route opt. route post-route opt. 3D timing & power analysis design constraint generation GDSII Our 3D design and analysis flow. GDSII Our 3D design and analysis flow is summarized in Fig. 5. To implement the target design on two dies, first we partition the netlist into two subdesigns. The memory blocks and Cores are partitioned manually (see Section III-C), and the standard cells for buses are partitioned by a partitioner. Once the netlist is partitioned, we start the layout. Currently, there is no commercial layout tool that optimizes multiple die designs altogether. Thus, we perform layout steps (floorplan, placement, routing, optimization) die by die. The timing and power analysis is performed for the whole design [5], and further optimizations may be run to iteratively improve design quality. Note that the optimization engine cannot see the entire 3D path, therefore optimization capability is limited [6]. Finally, we obtain the GDSII files of the dies. C. 3D Partitioning and Floorplan With 2D-SC design as the 2D baseline, we partition the design into two parts and assign them to dies. We consider three partitioning options: Group-Cut (3D-GC): The groups are cut into the left and the right half. The left half is assigned to Die 0 and the right half to Die 1. Since only Core-Core buses (between neighboring Cores) cross the cut line, this cut results in the minimum number of 3D connections. Group-Fold (3D-GF): Each group is folded into 3D. Per each group, a half of the Cores/s/s/s are assigned to Die 0, and the rest to Die 1. All the buses become 3D; the number of 3D connections become large. The wirelengths of all buses become much shorter because of the 3D folding. Cluster-Cut (3D-CC): The cut is done in Core/// cluster level. All Cores and s are assigned to Die 0, and all s and s are to Die 1. By placing s under Cores (in 3D manner), the Core- bus length becomes shorter, which may be helpful considering the bus width. Using an automatic partitioner, we also partition the netlist of MUXes, considering connections to the blocks. Assuming TABLE V LAYOUT RESULTS FOR 3D FLOORPLAN OPTIONS. 3D-GC 3D-GF 3D-CC footprint (mm 2 ) 4.10 4.10 4.10 wirelength (m) all buses 31.61 21.83 34.95 #TSVs 538 22,631 20,810 cells (thousands) bus-buffer 199 134 198 power (W ) total 1.673 1.386 1.703 bus-cell 0.058 0.030 0.054 bus-net 0.533 0.294 0.547 bus-leakage 0.076 0.045 0.069 TABLE VI LAYOUT RESULTS WITH VARIED 3D TECHNOLOGY SETTINGS. TSV-large TSV-small F2F footprint (mm 2 ) 4.10 4.10 4.10 wirelength (m) all buses 27.08 21.83 20.62 cells (thousands) bus-buffer 157 134 119 power (W ) total 1.504 1.386 1.341 bus-cell 0.038 0.030 0.028 bus-net 0.389 0.294 0.252 bus-leakage 0.053 0.045 0.040 F2B bonding with TSV-small, we perform floorplan and layout for the aforementioned partition methods. Our partition and floorplan options are shown in Fig. 6. Layout results for the 3D floorplan options are summarized in Table V. Although the three options use the same footprint area, significant differences are observed in the wirelength and power of buses. As expected, the number of TSVs is the highest for 3D-GF and the smallest for 3D-GC. The 3D-GF provides the best design in terms of bus wirelength, bus power, and total power. A shorter bus wirelength leads to smaller buffer count and lower bus power. Comparing 3D-GF vs. 2D- SC (in Table II), we observe that footprint, bus wirelength, bus buffer count, and bus power reduces by 50.0%, 40.7%, 34.8%, and 46.0%, respectively. The total power reduces by 17.5%. IV. IMPACT STUDY WITH 3D DESIGNS A. Impact of 3D Technology Settings To see the impact on design quality, for the best 3D floorplan option (3D-GF), we apply different 3D technology settings: F2B bonding with TSV-large (TSV-large) and TSV-small (TSV-small), and F2F bonding (F2F). Layout results with the varied 3D technology settings are summarized in Table VI. Compared with TSV-small, the increased wirelength for TSV-large is due to the increased TSV area overhead. As shown in Fig. 7(b), since TSVs consume significant area, cells/buffers for buses are more spread across the chip, and the nets connecting to the TSVs take more detours. In TSVlarge and TSV-small, TSVs occupy 13.9% and 1.5% of the total silicon area. The wirelength and buffer count of buses increase by 24.0% and 17.2%, respectively. As a result, the total power increases by 8.5%, which is significant. The layout results of F2F are better than those of TSVsmall, because F2F pads do not occupy silicon space and can be placed over macros and standard cells. Compared with TSV-small, the wirelength, buffer count, and power of

Die 0 Die 1 group (a) Group-cut 2050x2000 Die 0 Die 1 (b) Group-fold 4100x1000 (c) Cluster-cut Die 0 Die 1 2160x1900 Fig. 6. Partition and floorplan options for 3D. The objects inside the purple dotted boxes are assigned to Die 1, and the rest to Die 0. Numbers represent dimensions in µm. TSVs TSVs cells cells (a) 3D-GF with TSV-small (b) 3D-GF with TSV-large Fig. 7. Layout zoom-in shots for 3D-GF with (a) TSV-small and (b) TSVlarge. Fig. 8. (a) total power (b) power reduction rate Power vs. clock frequency for 2D-SC and 3D-GF designs. buses in F2F reduce by 5.5%, 11.2%, and 13.3%, respectively. The total power reduces by 3.3%. From these results, we observe that 3D technology settings affect overall design quality significantly, especially when lots of 3D connections are required. B. Impact of Clock Frequency Clock frequency also affects the power benefit amount. As the target clock period becomes shorter, it is harder for 2D to meet the timing than 3D, because bus wires are longer in 2D. The timing critical path is from a to a F/F in a Core. For 2D-SC and 3D-GF (with TSV-small), we reduce the clock period from 1.5ns (=667MHz, baseline) down to 1.2ns

rate increases. (a) power by type (b) power by category Fig. 9. Power vs. circuit switching activity. The 2D and 3D mean 2D-SC and 3D-GF designs. The percentage values in (a) mean the percentage of the power consumed by the type. The percentage values in (b) mean the power reduction rate for 3D. (=833MHz) to observe the power-delay trade-off for 2D and 3D. For each target clock period, we perform the layout and optimizations to close the timing. As shown in Fig. 8(a), as clock frequency becomes faster, total power increases faster with 2D. At 833MHz, the layout optimizer failed to close the timing for 2D, and total power reduction is 21.5%, which is larger than the baseline case. More buffers and larger cells would be required for 2D to close the timing, which would increase the power benefit amount. We also show the power reduction rates of buses in Fig. 8(b). Most of the bus power is net power, thus bus total power reduction curve closely follows bus net power reduction curve. Note that bus cell and leakage power also reduces more at faster clock speeds, because larger (and more leaky) cells/buffers are needed to close the timing for 2D. C. Impact of Circuit Switching Activity Our power analysis is based on static probability calculations. With the switching activity factor of primary inputs and sequential outputs set to 0.2 and 0.3, the switching activities of cells and nets are propagated throughout the whole netlist. In reality, depending on the GPU workload characteristics, the circuit activity may vary, which changes the power consumptions of Cores, memories, and buses. Thus, for the 2D-SC and 3D-GF designs at baseline clock frequency, we simulated different workload scenarios by changing the switching activity factor of sequential outputs to 0.5 and 0.7 to see the impact on the power benefit of 3D s. As shown in Fig. 9(a), as the switching activity increases, the total power increases, mainly because the power consumptions of buses (and Cores) increase. The power consumption of memory stays about the same. In this scenario, since the wirelength and the power of buses are reduced with 3D, we expect to see larger power reductions with higher switching activities. Indeed, as shown in Fig. 9(b), the total power reduction increases from 17.5% to 18.1% as switching activity increases. Although the net power reduction rate reduces from 30.4% to 27.5%, the amount of net power (and the percentage out of total power) increases. Thus, the total power reduction V. LESSONS LEARNED We first learn that he floorplan majorly affects the design quality in terms of bus wirelength, congestion, and power consumption. And for 3D designs, partitioning style and technological setup also affect the design quality much. For successful adoption of 3D s in complex digital designs with lots of macros and complex buses, design automation tools that consider various partition and floorplan options with proper technology handling are highly anticipated. Second, the power benefit of 3D s changes with target clock frequency and 3D technology setup. For faster clock frequency, the power reduction rate increases. In addition, when the 3D interconnect count is high, TSVs may occupy significant amount of silicon space, which may incur design inefficiency in terms of wirelength, buffer count, power consumptions, etc. This dependency of power benefit amount on the 3D technology may suggest how practical the technology is for the target application. Lastly, our timing/power optimization flow for 3D designs (see Section III-B) is not optimal. We perform the timing/power optimization die by die with the design constraints on the die boundary ports (TSVs or F2F pads). The optimization engine cannot see the entire 3D path, therefore optimization capability is limited [6]. In addition, the design constraints on the die boundary ports are in reduced (or simplified) models and cannot accurately represent the actual design context. True 3D design automation tools with multidie optimization capability are necessary for high performance and low power designs. VI. CONCLUSIONS In this paper, we demonstrated how the power consumption of buses in GPUs can be reduced with 3D technologies. To maximize the power benefit of 3D s, it is important to find a good partition and floorplan solution. The power benefit amount also depends on the 3D technology setup, target clock frequency, and circuit switching activity. With 3D technologies, the total power of our GPU can be reduced by up to 21.5%. REFERENCES [1] B. Black et al., Die Stacking (3D) Microarchitecture, in Proc. Annual IEEE/ACM Int. Symp. Microarchitecture, 2006, pp. 469 479. [2] U. Kang et al., 8Gb 3D DDR3 DRAM Using Through-Silicon-Via Technology, in Proc. IEEE Int. Solid-State Circuits Conf., 2009, pp. 130 131, 131a. [3] Synopsys, 32/28nm Generic Library. [Online]. Available: http://www.synopsys.com [4] G. Katti et al., Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional s, IEEE Trans. on Electron Devices, vol. 57, no. 1, pp. 256 262, Jan. 2010. [5] Y.-J. Lee and S. K. Lim, Timing Analysis and Optimization for 3D Stacked Multi-Core Microprocessors, in Proc. IEEE Int. 3D Systems Integration Conf., 2010, pp. 1 7. [6] Y.-J. Lee, et al., Slew-Aware Buffer Insertion for Through-Silicon-Via- Based 3D s, in Proc. IEEE Custom Integrated Circuits Conf., 2012, pp. 1 8.