L5: Simple Sequential Circuits and Verilog

Similar documents
L5: Simple Sequential Circuits and Verilog

L5: Simple Sequential Circuits and Verilog

L5: Simple Sequential Circuits and Verilog

Graduate Institute of Electronics Engineering, NTU. Lecturer: Chihhao Chao Date:

Sequential Logic. Reminder: Lab #2 due Thursday Fall 2016 Lecture 4

Sequential Logic. Use Explicit Port Declarations. Verilog Summary. Examples

Modeling Sequential Circuits in Verilog

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

Techniques for Digital Systems Lab. Verilog HDL. Tajana Simunic Rosing. Source: Eric Crabill, Xilinx

Sequential Logic. Reminder: Lab #2 due Thursday Fall 2017 Lecture 4

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3

Chapter 5 Registers & Counters

EN164: Design of Computing Systems Lecture 07: Lab Foundations / Verilog 3

DIGITAL SYSTEM DESIGN

Digital Integrated Circuits

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited

Modeling Synchronous Logic Circuits. Debdeep Mukhopadhyay IIT Madras

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

N-input EX-NOR gate. N-output inverter. N-input NOR gate

Sequential Logic Design

Quick Introduction to SystemVerilog: Sequental Logic

Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog

ECEN 468 Advanced Logic Design

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

Ripple Counters. Lecture 30 1

EECS 270 Verilog Reference: Sequential Logic

C A R L E T O N U N I V E R S I T Y. FINAL EXAMINATION April Duration: 3 Hours No. of Students: 108

University of Technology

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science

FSM and Efficient Synthesizable FSM Design using Verilog

Lecture 15: System Modeling and Verilog

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

Lecture 12 VHDL Synthesis

Lab 7 (All Sections) Prelab: Introduction to Verilog

Digital Design with SystemVerilog

Verilog Execution Semantics

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

Lecture 3. Behavioral Modeling Sequential Circuits. Registers Counters Finite State Machines

EN164: Design of Computing Systems Lecture 06: Lab Foundations / Verilog 2

Synthesizable Verilog

Writing Circuit Descriptions 8

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

Sequential Circuit Design: Principle

ECE 4514 Digital Design II. Spring Lecture 2: Hierarchical Design

Verilog Behavioral Modeling

Post-Synthesis Simulation. VITAL Models, SDF Files, Timing Simulation

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Blocking(=) vs Nonblocking (<=) Assignment. Lecture 3: Modeling Sequential Logic in Verilog HDL. Procedural assignments

Amrita Vishwa Vidyapeetham. EC429 VLSI System Design Answer Key

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified.

Lecture 4: Modeling in VHDL (Continued ) EE 3610 Digital Systems

Chapter 10. Counters (a short discussion)

In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008

ECE 331: N0. Professor Andrew Mason Michigan State University. Opening Remarks

Chapter 9: Sequential Logic Modules

EN2911X: Reconfigurable Computing Lecture 06: Verilog (3)

Why Should I Learn This Language? VLSI HDL. Verilog-2

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

Last Lecture. Talked about combinational logic always statements. e.g., module ex2(input logic a, b, c, output logic f); logic t; // internal signal

L11: Major/Minor FSMs

Sequential Logic Blocks

CSE140L: Components and Design Techniques for Digital Systems Lab

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

Laboratory Exercise 3

Nanosistemų programavimo kalbos 5 paskaita. Sekvencinių schemų projektavimas

Sequential Circuit Design: Principle

8 Describing Combinational and Sequential Logic using Verilog HDL

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis

Digital Design with FPGAs. By Neeraj Kulkarni

Verilog for Synthesis Ing. Pullini Antonio

Outcomes. Spiral 1 / Unit 6. Flip Flops FLIP FLOPS AND REGISTERS. Flip flops and Registers. Outputs only change once per clock period

CSE140L: Components and Design

Testbenches for Sequential Circuits... also, Components

Synthesis of Combinational and Sequential Circuits with Verilog

Registers and finite state machines

MCMASTER UNIVERSITY EMBEDDED SYSTEMS

register:a group of binary cells suitable for holding binary information flip-flops + gates

Verilog Nonblocking Assignments with Delays - Myths & Mysteries

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits

Department of Computer Science and Electrical Engineering. CMPE 415 Verilog Events Timing and Testbenches Prof. Ryan Robucci

Spiral 1 / Unit 6. Flip-flops and Registers

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4)

Outcomes. Spiral 1 / Unit 6. Flip Flops FLIP FLOPS AND REGISTERS. Flip flops and Registers. Outputs only change once per clock period

R10. II B. Tech I Semester, Supplementary Examinations, May

Lecture 32: SystemVerilog

Verilog introduction. Embedded and Ambient Systems Lab

Introduction. Purpose. Intended Audience. Conventions. Close

Digital Integrated Circuits

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

Verilog for High Performance

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI

Chapter 2: Introduction to Verilog

CS/EE Homework 7 Solutions

EN2911X: Reconfigurable Computing Topic 02: Hardware Definition Languages

Transcription:

L5: Simple Sequential Circuits and Verilog Acknowledgements: Nathan Ickes and Rex Min Lecture notes prepared by Professor Anantha Chandrakasan L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 1

Key Points from L4 (Sequential Blocks) Classification: Latch: level sensitive (positive latch passes input to output on high phase, hold value on low phase) Register: edge-triggered (positive register samples input on rising edge) Flip-Flop: any element that has two stable states. uite often Flip-flop also used denote an (edge-triggered) register Positive Latch D D D D Positive Register Clk Clk Latches are used to build Registers (using the Master-Slave Configuration), but are almost NEVER used by itself in a standard digital design flow. uite often, latches are inserted in the design by mistake (e.g., an error in your Verilog code). Make sure you understand the difference between the two. Several types of memory elements (SR, JK, T, D). We will most commonly use the D-Register, though you should understand how the different types are built and their functionality. L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 2

System Timing Parameters In D Combinational Logic D Clk Clk Register Timing Parameters T cq : worst case rising edge clock to q delay T cq, cd : contamination or minimum delay from clock to q T su : setup time T h : hold time Logic Timing Parameters T logic : worst case delay through the combinational logic network T logic,cd : contamination or minimum delay through logic network L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 3

System Timing (I): Minimum Period CLout In D Combinational Logic D Clk Clk CLK T h T h IN T su T cq T su T cq FF1 T cq,cd T logic T cq,cd CLout T l,cd T su2 T > T cq + T logic + T su L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 4

System Timing (II): Minimum Delay CLout In D Combinational Logic D Clk Clk CLK IN FF1 CLout T su T h T cq,cd T h T l,cd Tcq,cd + Tlogic,cd > Thold L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 5

Shift-Register Typical parameters for Positive edge-triggered D Register D Tsu 2ns Th 5ns Tsu 2ns Th 5ns CLK Tw 25ns Tplh 25ns 13ns Tphl 4ns 25ns all measurements are made from the clocking event that is, the rising edge of the clock Shift-register IN 1 D D OUT IN 1 1 CLK CLK L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 6

The Sequential always Block Edge-triggered circuits are described using a sequential always block Combinational module combinational(a, b, sel, out); input a, b; input sel; output out; reg out; always @ (a or b or sel) begin if (sel) out = a; else out = b; end endmodule Sequential module sequential(a, b, sel, clk, out); input a, b; input sel, clk; output out; reg out; always @ (posedge clk) begin if (sel) out <= a; else out <= b; end endmodule a 1 a 1 b out b D out sel sel clk L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 7

Importance of the Sensitivity List The use of posedge and negedge makes an always block sequential (edge-triggered) Unlike a combinational always block, the sensitivity list does determine behavior for synthesis! D Flip-flop with synchronous clear module dff_sync_clear(d, clearb, clock, q); input d, clearb, clock; output q; reg q; always @ (posedge clock) begin if (!clearb) q <= 1'b; else q <= d; end endmodule D Flip-flop with asynchronous clear module dff_async_clear(d, clearb, clock, q); input d, clearb, clock; output q; reg q; always @ (negedge clearb or posedge clock) begin if (!clearb) q <= 1 b; else q <= d; end endmodule always block entered immediately when (active- always block entered only at each positive clock edge low) clearb is asserted Note: The following is incorrect syntax: always @ (clear or negedge clock) If one signal in the sensitivity list uses posedge/negedge, then all signals must. Assign any signal or variable from only one always block, Be wary of race conditions: always blocks execute in parallel L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 8

Simulation (after Place and Route in Xilinx) DFF with Synchronous Clear t c-q Clear on Clock Edge DFF with Asynchronous Clear Clear happens on falling edge of clearb L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 9

Blocking vs. Nonblocking Assignments Verilog supports two types of assignments within always blocks, with subtly different behaviors. Blocking assignment: evaluation and assignment are immediate always @ (a or b or c) begin x = a b; y = a ^ b ^ c; z = b & ~c; end 1. Evaluate a b, assign result to x 2. Evaluate a^b^c, assign result to y 3. Evaluate b&(~c), assign result to z Nonblocking assignment: all assignments deferred until all right-hand sides have been evaluated (end of simulation timestep) always @ (a or b or c) begin x <= a b; y <= a ^ b ^ c; z <= b & ~c; end 1. Evaluate a b but defer assignment of x 2. Evaluate a^b^c but defer assignment of y 3. Evaluate b&(~c) but defer assignment of z 4. Assign x, y, and z with their new values Sometimes, as above, both produce the same result. Sometimes, not! L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 1

Assignment Styles for Sequential Logic Flip-Flop Based Digital Delay Line clk in q1 q2 D D D out Will nonblocking and blocking assignments both produce the desired result? module nonblocking(in, clk, out); input in, clk; output out; reg q1, q2, out; always @ (posedge clk) begin q1 <= in; q2 <= q1; out <= q2; end endmodule module blocking(in, clk, out); input in, clk; output out; reg q1, q2, out; always @ (posedge clk) begin q1 = in; q2 = q1; out = q2; end endmodule L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 11

Use Nonblocking for Sequential Logic always @ (posedge clk) begin q1 <= in; q2 <= q1; out <= q2; end At each rising clock edge, q1, q2, and out simultaneously receive the old values of in, q1, and q2. always @ (posedge clk) begin q1 = in; q2 = q1; out = q2; end At each rising clock edge, q1 = in. After that, q2 = q1 = in. After that, out = q2 = q1 = in. Therefore out = in. in q1 q2 D D D out in D q1 q2 out clk clk Blocking assignments do not reflect the intrinsic behavior of multi-stage sequential logic Guideline: use nonblocking assignments for sequential always blocks L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 12

Non-blocking Simulation Simulation Blocking Simulation L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 13

Use Blocking for Combinational Logic Blocking Behavior (Given) Initial Condition a changes; always block triggered x = a & b; y = x c; a b c x y 1 1 1 1 1 1 1 1 1 1 a b c x y module blocking(a,b,c,x,y); input a,b,c; output x,y; reg x,y; always @ (a or b or c) begin x = a & b; y = x c; end endmodule Nonblocking Behavior (Given) Initial Condition a changes; always block triggered x <= a & b; y <= x c; Assignment completion a b c x y Deferred 1 1 1 1 1 1 1 1 1 1 x<= 1 1 1 x<=, y<=1 1 1 module nonblocking(a,b,c,x,y); input a,b,c; output x,y; reg x,y; always @ (a or b or c) begin x <= a & b; y <= x c; end endmodule Nonblocking and blocking assignments will synthesize correctly. Will both styles simulate correctly? Nonblocking assignments do not reflect the intrinsic behavior of multi-stage combinational logic While nonblocking assignments can be hacked to simulate correctly (expand the sensitivity list), it s not elegant Guideline: use blocking assignments for combinational always blocks L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 14

The Asynchronous Ripple Counter A simple counter architecture uses only registers (e.g., 74HC393 uses T-register and negative edge-clocking) Toggle rate fastest for the LSB D Count[] D D D Count [3:] Count[1] Count[2] Count[3] but ripple architecture leads to large skew between outputs Clock D register set up to always toggle: i.e., T Register with T=1 Skew Count [3] Count [2] Count [1] Count [] Clock L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 15

The Ripple Counter in Verilog Single D Register with Asynchronous Clear: module dreg_async_reset (clk, clear, d, q, qbar); input d, clk, clear; output q, qbar; reg q; Count[] Count [3:] Count[1] Count[2] Count[3] always @ (posedge clk or negedge clear) begin if (!clear) q <= 1'b; else q <= d; end assign qbar = ~q; endmodule D clk D D D Countbar[] Countbar[1] Countbar[2] Countbar[3] Structural Description of Four-bit Ripple Counter: module ripple_counter (clk, count, clear); input clk, clear; output [3:] count; wire [3:] count, countbar; dreg_async_reset bit(.clk(clk),.clear(clear),.d(countbar[]),.q(count[]),.qbar(countbar[])); dreg_async_reset bit1(.clk(countbar[]),.clear(clear),.d(countbar[1]),.q(count[1]),.qbar(countbar[1])); dreg_async_reset bit2(.clk(countbar[1]),.clear(clear),.d(countbar[2]),.q(count[2]),.qbar(countbar[2])); dreg_async_reset bit3(.clk(countbar[2]),.clear(clear),.d(countbar[3]),.q(count[3]),.qbar(countbar[3])); endmodule L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 16

Simulation of Ripple Effect L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 17

Logic for a Synchronous Counter Count (C) will retained by a D Register Next value of counter (N) computed by combinational logic C3 C2 C1 N3 N2 N1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 C3 N1 1 1 1 1 N2 1 C1 C1 1 C2 C3 N3 1 1 C1 1 1 C2 C2 C3 1 1 C1 C2 C3 N1 := C1 D D D N2 := C1 C2 + C1 C2 := C1 xor C2 CLK N3 := C1 C2 C3 + C1 C3 + C2 C3 := C1 C2 C3 + (C1 + C2 ) C3 := (C1 C2) xor C3 From [Katz5] L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 18

The 74163 Catalog Counter Synchronous Load and Clear Inputs Positive Edge Triggered FFs Parallel Load Data from D, C, B, A P, T Enable Inputs: both must be asserted to enable counting Ripple Carry Output (RCO): asserted when counter value is 1111 (conditioned by T); used for cascading counters Synchronous CLR and LOAD If CLRb = then <= Else if LOADb= then <= D Else if P * T = 1 then <= + 1 Else <= 74163 Synchronous 4-Bit Upcounter L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 19

Inside the 74163 (Courtesy TI) - Operating Modes CLR =, LOAD = : Clear takes precedence CLR = 1, LOAD = : Parallel load from DATA 1 1 DA DA DB DB DC DC DD DD L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 2

163 Operating Modes - II CLR = 1, LOAD = 1, P T = : Counting inhibited CLR = 1, LOAD = 1, P T = 1: Count enabled 1 1 1 A 1 1 1 NA B NB C NC D ND 1 L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 21

Verilog Code for 163 Behavioral description of the 163 counter: module counter(ldbar, CLRbar, P, T, CLK, D, count, RCO); input LDbar, CLRbar, P, T, CLK; input [3:] D; output [3:] count; output RCO; reg [3:] ; always @ (posedge CLK) begin if (!CLRbar) <= 4'b; else if (!LDbar) <= D; else if (P && T) <= + 1; end priority logic for control signals assign count = ; assign RCO = [3] & [2] & [1] & [] & T; endmodule RCO gated by T input L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 22

Simulation Notice the glitch on RCO! L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 23

Output Transitions Any time multiple bits change, the counter output needs time to settle. Even though all flip-flops share the same clock, individual bits will change at different times. Clock skew, propagation time variations Can cause glitches in combinational logic driven by the counter The RCO can also have a glitch. L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 24

Cascading the 74163: Will this Work? V DD bits -3 bits 4-7 bits 8-11 P CL T A B C D 163 RCO LD D A D B D C D D P CL T A B C D 163 RCO LD D A D B D C D D P CL T A B C D 163 RCO LD D A D B D C D D V DD 163 is enabled only if P and T are high CLK When first counter reaches = 4 b1111, its RCO goes high for one cycle When RCO goes high, next counter is enabled (P T = 1) So far, so good...then what s wrong? L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 25

Incorrect Cascade for 74163 Everything is fine up to 8 b1111111: V DD P CL T 1 1 1 1 1 1 1 A B C D T A B C D 1 RCO P RCO 163 163 LD D A D B D C D D CL LD D A D B D C D D P CL T A B C D 163 RCO LD D A D B D C D D V DD CLK Problem at 8 b1111: one of the RCOs is now stuck high for 16 cycles! V DD P CL T 1 1 1 1 A B C D T A B C D RCO P RCO 163 163 LD D A D B D C D D CL LD D A D B D C D D 1 P CL T A B C D 163 RCO LD D A D B D C D D V DD CLK L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 26

Correct Cascade for 74163 Master enable P A B C D P A B C D T CL LD RCO D A D B D C D D T CL LD RCO D A D B D C D D P input takes the master enable T input takes the ripple carry assign RCO = [3] & [2] & [1] & [] & T; L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 27

Summary Use blocking assignments for combinational always blocks Use non-blocking assignments for sequential always blocks Synchronous design methodology usually used in digital circuits Single global clocks to all sequential elements Sequential elements almost always of edge-triggered flavor (design with latches can be tricky) Today we saw simple examples of sequential circuits (counters) L5: 6.111 Spring 29 Introductory Digital Systems Laboratory 28