Cost-driven 3D Design Optimization with Metal Layer Reduction Technique

Similar documents
3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Three DIMENSIONAL-CHIPS

A Design Tradeoff Study with Monolithic 3D Integration

Towards Performance Modeling of 3D Memory Integrated FPGA Architectures

CHAPTER 1 INTRODUCTION

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

On GPU Bus Power Reduction with 3D IC Technologies

Monolithic 3D IC Design for Deep Neural Networks

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

3D Technologies For Low Power Integrated Circuits

Stacked Silicon Interconnect Technology (SSIT)

Wirelength Estimation based on Rent Exponents of Partitioning and Placement Λ

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs

BREAKING THE MEMORY WALL

Test-Wrapper Optimization for Embedded Cores in TSV-Based Three-Dimensional SOCs

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration

A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Test-Architecture Optimization for TSV-Based 3D Stacked ICs

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

Test Cost Analysis for 3D Die-to-Wafer Stacking

Processor and DRAM Integration by TSV- Based 3-D Stacking for Power-Aware SOCs

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

Placement Strategies for 2.5D FPGA Fabric Architectures

Introduction. Summary. Why computer architecture? Technology trends Cost issues

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

Wirelength Estimation based on Rent Exponents of Partitioning and Placement 1

Bringing 3D Integration to Packaging Mainstream

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

AS THE computing industry enters the multi-core era,

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Generic Integer Linear Programming Formulation for 3D IC Partitioning

VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri Harsha* 1, S.Anjaneeyulu 2

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Cost-driven 3D Integration with Interconnect Layers

Xilinx SSI Technology Concept to Silicon Development Overview

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

VERY large scale integration (VLSI) design for power

Routability-Driven Bump Assignment for Chip-Package Co-Design

Advancing high performance heterogeneous integration through die stacking

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

On Optimizing Test Cost for Wafer-to-Wafer 3D-Stacked ICs

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

An Overview of Standard Cell Based Digital VLSI Design

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias

3-Dimensional (3D) ICs: A Survey

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA

Cluster-based approach eases clock tree synthesis

ESE532: System-on-a-Chip Architecture. Today. Wafer Cost. Message. Preclass 1. Implication. Chip Costs from Area Chip Area

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE

Interposer Technology: Past, Now, and Future

Burn-in & Test Socket Workshop

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

TechSearch International, Inc.

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

A Framework for Systematic Evaluation and Exploration of Design Rules

Cache/Memory Optimization. - Krishna Parthaje

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

Variation Aware Routing for Three-Dimensional FPGAs

OVERCOMING THE MEMORY WALL FINAL REPORT. By Jennifer Inouye Paul Molloy Matt Wisler

Packaging Technology for Image-Processing LSI

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Chapter 2 Three-Dimensional Integration: A More Than Moore Technology

How Much Logic Should Go in an FPGA Logic Block?

High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Chapter 5: ASICs Vs. PLDs

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Thermal-Aware Memory Management Unit of 3D- Stacked DRAM for 3D High Definition (HD) Video

2-D CHIP fabrication technology is facing lot of challenges

Iterative-Constructive Standard Cell Placer for High Speed and Low Power

3-D INTEGRATED CIRCUITS (3-D ICs) are emerging

MODULAR PARTITIONING FOR INCREMENTAL COMPILATION

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

TechSearch International, Inc.

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Investigation and Comparison of Thermal Distribution in Synchronous and Asynchronous 3D ICs Abstract -This paper presents an analysis and comparison

Probing 25µm-diameter micro-bumps for Wide-I/O 3D SICs

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

A new perspective on processing-in-memory architecture design

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization

On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective

3D technology evolution to smart interposer and high density 3D ICs

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Automated system partitioning based on hypergraphs for 3D stacked integrated circuits. FOSDEM 2018 Quentin Delhaye

More Course Information

ECE 486/586. Computer Architecture. Lecture # 2

VLSI Test Technology and Reliability (ET4076)

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER

Transcription:

Cost-driven 3D Design Optimization with Metal Layer Reduction Technique Qiaosha Zou, Jing Xie, Yuan Xie The Pennsylvania State University, USA AMD Research, Advanced Micro Devices, Inc., USA Email: {qszou, jzx105}@cse.psu.edu, yuan.xie@amd.com Abstract Three-dimensional integrated circuit (3D IC) is a promising solution to continue the performance scaling. However, the fabrication cost for 3D ICs can be a major concern for the adoption of this emerging technology. In this paper, we study the cost implication for both TSV-based and interposer-based 3D ICs, with the observation that many long metal interconnects in 2D designs are replaced by TSVs in 3D designs, and therefore the number of metal layers to satisfy routing requirements can be reduced, resulting in cost saving in 3D ICs. Based on our cost model, we propose a cost-driven 3D design space optimization flow that balances the design area and metal layer requirement, by optimizing the cost tradeoffs between silicon area and the number of metal layers. With the cost-driven design optimization flow, we can achieve cost saving up to 19% for TSV-based 3D designs, and 26% for interposer-based 3D designs, respectively, compared to the baseline designs. 1 I. INTRODUCTION Three-dimensional integrated circuit (3D IC) is a promising technology that benefits from both integration density and performance. By integrating a high-bandwidth low-latency vertical interconnects Through-Silicon Vias (TSVs), 3D integrations can provide: (i) smaller footprint and higher package density; (ii) higher performance and lower interconnect power consumption; (iii) heterogeneous chip stacking [1] [3]. The high fabrication cost of 3D stacking is a major concern for adopting this emerging technology. Different from 2D designs, 3D designs need wafer thinning and wafer bonding, implying higher process complexity and lower fabrication yield. Previous study shows that, a 2 layer 3D design is more cost efficient than the corresponding 2D design only when the design gate count is more than 143 million for 45nm process technology, making 3D integration not suitable for small designs [4]. Providing a cost-efficient design is an urgent requirement for 3D technology. Mask cost is one important component in fabrication cost. Contemporary complex circuits require more metal layers, making the mask cost continuously increases. For example, at 32nm technology node, the number of process steps is 462 with mask cost of 2.974M/set for 9 metal layers; when the number of metal layers increases to 11, the process steps and mask cost increase to 498 and 3.212M/set, respectively [5]. Besides mask cost for metal layers, chip area is another determinant of fabrication cost due to its direct impact on yield. Reducing metal layers can cut down the process steps 1 This work is supported in part by SRC grants, NSF 0903432, and 1017277. and mask cost; however, it will cause dramatic increment in chip size in 2D designs. By replacing long interconnects with TSVs in 3D designs, metal layers can be reduced while small chip size is maintained as shown in [4]. In this work, we implemented a block granularity 3D design optimization flow to generate cost-efficient designs by balancing the chip size (placement density) and routability (metal layers). At physical design stage, CAD tools require designers to input the area utilization for placement density. Thus, the design quality highly depends on the designers experience and circuit complexity. In this flow, optimal placement density and metal layer reduction are considered concurrently for costefficient designs. Both TSV-based 3D and interposer-based 3D designs are included in our work. The rest of the paper is organized as follows: the background of TSV and interposer-based 3D ICs are introduced in Section II; Section III illustrates the interconnect model for routability and the 3D design cost model used in this work; the cost-driven 3D design optimization flow is demonstrated in Section IV; the experimental setup and results are shown in Section V following by conclusion in Section VI. II. BACKGROUND With continuously technology scaling, the interconnect performance degrades due to the increasing fraction of interconnect delay and power consumption. 3D stacking provides a promising solution to tackle the interconnect bottleneck by providing vertical connections and smaller chip footprint. In terms of stacking components, 3D ICs can be categorized into TSV-based and interposer-based designs. A. TSV-based 3D ICs With the capability of vertical connections, multiple dies can stack in TSV-based 3D design, as shown in Figure 1. The stacking method between two dies can be either faceto-back or face-to-face. Figure 1(a) shows an example of faceto-back stacking, where TSVs are built in the silicon substrate and carry the signal from top tier to bottom tier. In face-toface stacking as shown in Figure 1(b), micro-bumps directly connect the top metal layers of two tiers without TSVs going through the substrate. By influencing the overall stacking yield and adding bonding cost, TSV-based 3D designs have great impact on the fabrication cost.

Tier 1 Tier 0 Silicon Substrate (a) Metal Layers TSVs Micro Bumps Transistors Fig. 1. An example of 3D TSV-based stacking, where TSVs are used for vertical signal connections: (a) is the face-to-back stacking method and (b) is the face-to-back stacking method. B. Interposer-based 3D ICs Silicon interposer is an important component acting as intermediate carrier and wiring device in most of the 3D designs [6]. Interposer stacking can provide an alternative way of die stacking [7], as shown in Figure 2. Different from TSVbased integration, interposer is a silicon layer with interconnect components built in advance [8] [10], indicating the benefit that the interposer fabrication process is independent from die fabrication without interfering the final yield. Two stacking methods can be used in interposer-based 3D design: one side and two sides stacking. The first one is putting dies on only one side of the interposer, as shown in Figure 2(a). The communication is through the TSVs in interposer and the micro-bumps between interposers. In this stacking method, single interposer layer size is determined by the accumulated die size. The second one is bonding dies on both sides of the interposer, forming two tiers stacking with one interposer, as shown in Figure 2(b). The TSVs act as interconnects for dies on the same tier and inter-tier communication. In this case, single interposer size is bounded by the maximum tier size. In our cost-efficient design flow, we only consider the two sides stacking scenario with one interposer layer. This stacking method has higher interposer utilization rate and the interconnect behavior between tiers is similar to TSV-based 3D designs. (b) layers for feasible routing, when only limited information are available. The second part of this section introduces a comprehensive 3D cost model that considers the area overhead and yield impact of TSV bonding, and the fabrication overhead of interposer stacking. These models facilitate the cost-driven 3D design flow in Section IV. A. Interconnect Model The die area, which is defined as the area occupied by the transistors and the interconnects, is closely related to the gate count in designs [11]. However, in physical circuit designs, gates are not placed tightly with other, which introduces an area utilization rate to indicate the placement density. So the die area can be estimated as a function of the gate counts and area utilization rate: A die = N ga g A util (1) where N g is the number of gates in the design, A g is an empirical parameter that represents the relation between single gate area and feature size, A util is the area utilization rate. In our work, A g is assumed to be 3125λ 2, and λ is half of the feature size. The required metal layers for feasible routing highly depends on the placement and routing methodology, however, the detailed information are unavailable at the early design stage. In this model, we mainly analyze the relation between routing demand and routing resource to determine the required metal layers. A wire length distribution model based on Rent s rule is used to perform the routing demand estimation [12]. The wire length distribution equations with respect to the interconnect segment length l is as follows: Region I: 1 l N g i(l) = αk 2 Γ ( ) l 3 3 2 N g l 2 + 2N g l l 2p 4 (2) III. INTERCONNECT AND COST MODELS Metal layers can be reduced to achieve cost-efficient design in our proposed framework. In order to reduce metal layer in 3D designs, we need to estimate the minimum metal layer requirement that can guarantee the routability given the design gate count. Besides the interconnect model, 3D system cost models for TSV and interposer-based 3D ICs are necessary for cost analysis. In this section, the related interconnect model and 3D cost model are introduced. In the interconnect model, we describe how to estimate the die area and minimum metal Tier 1 Tier 0 (a) Micro bumps Chips Interposer Solder bumps (b) Tier 1 Fig. 2. An example of 3D interposer-based stacking, where interposers are silicon device with pre-built TSVs: (a) is stacking chips on one side of interposer and (b) is stacking chips on both sides of interposer. Tier 0 Region II: N g l < 2 N g i(l) = αk ( 6 Γ 2 ) 3 N g l l 2p 4 (3) where k is Rent s coefficient, represents the average number of pins per block and p is Rent s exponent [13] within the range of 0.4 to 0.9 [14]. α = f.o. f.o.+1 is related to the average fanout of a gate to represent the proportion of on-chip sink terminals. Γ is given as follows: Γ = ( N p g ( ) 2N g 1 N p 1 g 1+2p 2 2p 1 p(2p 1)(p 1)(2p 3) 1 6p + 2 N g 2p 1 Ng p 1 ) (4) For any given interconnect length, the accumulative number of interconnects I(l), which means the number of interconnects with length smaller or equal to l, can be derived from the cumulative integral of the wire length distribution function i(l). The accumulative routed wire length L(l), which denotes the routing demand, is given as the first-order moment of i(l).

To this end, one of the two components in interconnect model, the routing demand, has been analyzed. The routing resource is related to the available routing area and wire pitches. The available signal routing resource is significantly smaller than the total track length on all metal layers because of the routing efficiency, the impact of the vias, and the resource occupied by power, ground, and clock distribution [15]. The available signal routing resource for each metal layer is given as follows: K i = A dieη i 2A v (I(l max ) I(l i )) ω i (5) where A die is the die area given in equation 1, η i is the metal layer utilization, A v and ω i are the via area and wire pitches on metal layer i, l max and l i are the maximum single wire length on the whole chip and one metal layer. With this interconnect model, we are assuming the shorter interconnects are routed first on lower metal layers. The routing process starts from bottom metal layer, and moves up the higher metal layers only when the bottom layers are fully utilized. Furthermore, for each metal layer, the total routed wire length cannot exceed the available routing resource. The required metal layers are derived from repeating this process until all the wires are properly assigned to metal layers. B. 3D Cost Model The cost models are different in TSV-based and interposerbased designs. The cost of 3D TSV-based ICs contains two parts: wafer cost and bonding cost. Wafer cost captures the wafer cost with related die cost and die yield, similar to the cost in traditional 2D designs. Bonding cost models the cost overhead of TSV bonding, including wafer thinning, TSV forming, and die bonding, which is unique in 3D designs. In our work, the interposer is treated as regular silicon device, therefore, only the wafer cost is considered. Wafer Cost Model. In the wafer cost part, the most important factor is the die area. The die area estimations of TSV-based and interposer-based structure are different. TSVbased structure introduces area overhead, because the silicon area where TSVs are built cannot be utilized. Normally, the diameter of TSVs is large compared to the transistor feature size and it can range from 1µm to 10µm [16]. The area overhead can be estimated through the euqation A 3D = A die + N T SV/die A T SV [4]. N T SV/die refers to the number of TSVs on each die, A T SV is the area of TSV and it can be calculated from the TSV pitch, and A 3D is the final die area of one 3D stacking die. For interposer-based structure, the vertical interconnects have no impact on chip area because the TSVs are fabricated inside the interposer. So the die area can be estimated directly from Equation 1. Given the die area and wafer diameter, the wafer utilization in terms of number of dies per wafer can be calculated. Besides the wafer utilization, the die yield also influences the cost, which is formulated as follows: Y die = Y wafer 1 e 2A died 0 2A die D 0 (6) where D 0 is the defect density of the wafer, Y die and Y wafer are the die yield and wafer yield, respectively. Since 3D integration enables heterogeneous stacking, the chip size of stacking dies can be different. The overall die yield can be calculated by multiplying the individual yield of each die in the stacking. 3D Bonding Cost. In order to build 3D stacking, extra fabrication steps are needed, including wafer thinning, TSV forming, and die bonding. These process steps have impact on bonding cost: wafer thinning decreases the wafer yield; TSV forming increases the process cost; and die bonding influences both the process cost and stacking yield. The total stacking yield is a function of the TSV number (N T SV ) and the single TSV yield (Y T SV ), and it can be calculated by Y S = Y bonding Y N T SV T SV [17]. The TSV number can be estimated as follows at the early design stage: N T SV = αk 1,2 (B 1 + B 2 )(1 (B 1 + B 2 ) p1,2 1 ( ) ( ) αk 1 B 1 1 B p1 1 1 αk 2 B 2 1 B p2 1 2 where B 1 and B 2 are the number of blocks in two tiers, k 1,2 and p 1,2 are the equivalent Rent s coefficient and exponent. Overall 3D Cost Model. The TSV-based cost combines the wafer cost and the bonding cost. In our work, we only consider die-to-wafer stacking, the TSV-based 3D cost (C T 3D ) can be calculated from: C T 3D = N i=1 (C die i +C KGDtest ) Y diei Y (N 1) S (7) + (N 1)C bonding (8) where C bonding is the bonding cost, which captures the wafer thinning, TSV forming, and bonding cost. The interposer-based structure cost only contains the wafer cost model. And we only consider the case shown in Figure 2(b) where two known good dies stack on both sides of an interposer. In this scenario, the overall cost of one interposer stacking (C I3D ) is given as follows: C I3D = N/2 N (C diei + C KGDtest ) + C interposer (9) i=1 i=1 where N is the number of tiers in the 3D design, C diei, C interposer are the cost of each die in the stacking and interposer wafer cost, respectively, C KGDtest is the testing cost for each die. IV. COST-DRIVEN 3D DESIGN OPTIMIZATION FLOW Based on the interconnect model and 3D cost model, costdriven design optimization flow is implemented in block granularity to reduce system cost. In this section, we introduce the proposed design flow with metal layer reduction in detail. The flow takes the gate counts from synthesized design as input and outputs the cost-efficient 2D designs, TSV-based,

and interposer-based 3D designs. Figure 3 shows the proposed cost-driven design optimization flow. In this work, the 3D partitioning is in block granularity and each block contains arbitrary number of gates according to the block functionality. 2D Design Metal Layer Estimation Metal Layer Reduction Design Gate Count Wire Pitch & Gate Size 3D Tier Number Gate Assignment to Blocks 3D Design 3D Block Granularity Partitioning Metal Layer Estimation on Tier Level Optimal Area Utilization Estimation Design Cost Calculation(2D, TSV and interposer 3D) Fig. 3. Cost-driven design optimizaiton flow in 2D designs, TSV-based and interposer-based 3D designs with metal layer reduction technique. The 2D designs, TSV-based and interposer-based 3D designs after partitioning are generated with default area utilization. The minimum required metal layers for feasible routing are calculated using the interconnect model introduced in Section III. The design costs are calculated based on the estimated chip area and metal layers. In this flow, the important steps are area utilization estimation and corresponding metal layers estimation. The interconnect model in Section III is used for metal layers estimation. However, the l i, which is the maximum interconnect segment length that can be routed on metal layer i, is constrained by the routing resource. The routing resource on the other hand is bounded by the via area, which depends on l i. For example, on metal layer 1, if the maximum wire length that can be routed is l 1, then the wires that are longer than l 1 need to be put on higher metal layers, resulting in I max I 1 number of vias. The bigger l 1 value is, the less area occupied by vias. But the bigger l 1 needs more routing resource determined by available chip area. Finding the balanced l i for each metal layer is the key step in metal layer estimation. Searching all the possible combinations in sequence is extremely time consuming. In this work, we are using binary search algorithm shown in Algorithm 1. The algorithm takes the chip area and wire pitches as input and gives the metal layers as output. Area utilization is the major factor that influences the fabrication cost. First, die yield is exponentially proportional to the die area which is calculated from area utilization. It means larger chip area results in significant higher cost. Second, the required metal layers are determined by the area utilization which determines the routing resource. Increased area utilization results in denser placement and more metal layers, implying higher mask cost. In this work, we consider Algorithm 1 Outline of the binary search algorithm for the estimation of metal layer requirement Metal Layer Estimation (chip area, wire pitch) {initialize layer count, l max, L(l max) and I(l max)} layer count = 0, i = 0; while l i l max do l i = (upbound+lowbound)/2; repeat Calculated k i from l i; Calculate L(l i) from l i; if L(l i) L(l i 1) < k i then Update the lowbound; else Update the upbound; end if until lowbound > upbound Finish searching on metal layer i; i++; Record L(l i) and l i; {find the balanced l i} end while layer count = i; return Layer count; the maximum area utilization that one design can achieve without sacrificing routability. Optimal area utilization denotes the maximum utilization with default metal layers and maximum area utilization is the maximum area utilization after one metal layer reduction. The design exploration flow finds the cost-efficient design through calculating the optimal and maximum area utilization. At physical design stage, CAD tools usually require designers to input the area utilization and perform placement and routing accordingly. However, sometimes the utilization is pessimistically estimated resulting in large unused chip area. So finding the best area utilization can help reduce the die area and design cost with feasible routing. The same binary search algorithm is used for exploring the area utilization. In order to keep the design practical and reduce computation complexity, we set two utilization thresholds: the maximum and minimum thresholds, to control the searching range. The optimal area utilization is searched between the default utilization rate and maximum threshold. The maximum utilization rate without causing additional metal layers is the output. The maximum utilization rate after metal layer reduction should be between the minimum threshold and default utilization. The metal layer and area utilization estimations are suitable for generic logic designs. However, the estimation is inaccurate for regular pattern designs, such as cache and memory. In these regular designs, the routing complexity is not related to the number of gates and the metal layers are fixed once design pattern is known. V. EXPERIMENT RESULTS In this section, the results from cost-driven design optimization are shown. We implement the framework in C++ and use 45nm technology node. The gate size and wire pitches information are extracted from NanGate FreePDK45 Generic Open Cell Library [18]. The parameters used in the experiments are listed in Table I. During the design optimization process, the area utilization variation is within the range of 20% to keep the

!"#$%&'()*)+& %#" %"" $#" $"" #" TABLE I PARAMETERS USED IN THE EXPERIMENTS Parameter Value Average gates per block 50 Average gate fanout 2 Rent s coefficient (k) 4 Rent s exponent (p) 0.7 TSV area 1µm 2 Default area utilization 70% Routing efficiency 10% " " #" $"" $#" %"" %#" &"",(-%&./*0-1&'*0#-&/2&3+& %'!()*+ &'!,-.!%!/0123 &'!45+236)*23 Fig. 4. Cost comparison between design implementation on 2D, 2 tiers 3D TSV-based, and interposer-based 3D designs. design practical. The cost related parameters in this experiment are from IC cost model [5]. We use 300mm TSMC dual gate CMOS logic process technology for device layer and 300mm UMC interposer process technology for interposer layer. TSVbased 3D bonding method is face-to-back bonding and die-towafer stacking. A. Cost Analysis without Optimization The costs of 2D designs, TSV-based and interposer-based designs with default area utilization and minimum required metal layers are calculated. All the 3D designs are partitioned into 2 layers, although the framework is capable to support 3D designs with more tiers. The cost comparison without optimization are shown in Figure 4. The fabrication cost rises with increased gate count as expected. When the gate count is smaller than 100M, the 2D implementation has lower cost than corresponding 3D cases. However, as the gate count continuously increased, 3D designs show the advantages of smaller footprint and less routing complexity over 2D designs. In the proposed flow, we only consider one interposer layer design, and the interposer area is determined by the maximum tier size. When the gate count increased, the cost of interposer is higher than 3D TSV bonding due to the lower die yield and higher wafer cost of larger interposer area. In previous work [4], the gate count of 3D enabling point is less than 100M, which is smaller than our results because the previous process technology is 65nm. As transistor size continuously scaling, the chip size is reduced, and therefore amortized cost per chip is lower, making 3D stacking designs more cost-efficient for larger designs. Besides 2 tiers partitioning, 3D TSV-based design costs with more tiers are examined. The costs are shown in Figure 5. In 3D TSV-based designs, more tiers do not necessarily lead to higher fabrication cost. From the results, for larger designs!!"#$%&'()*)+& %#" %"" $#" $"" #" " #& $"& #"& $""& $#"& %""& %#"&,(-%&./*0-1& $!'()*+,-.*+/01*+ %!'()*+1 2!'()*+1 3!'()*+1 Fig. 5. Fabrication costs of 2, 3, and 4 tiers TSV-based 3D designs; 2D and interposer-based design costs are shown for comparison. with gate count more than 150M, we can gain cost saving by partitioning the design into more tiers. It is because when the design is large, the TSV bonding cost overhead is compensated by smaller footprint since the die yield is exponentially related to the chip size. B. Cost Analysis with optimization In this section, the results after cost-efficient optimization are shown. For each design (both 2D and 3D cases), the optimal utilization and maximum utilization are obtained to calculate the corresponding design costs. The results are shown in Table II with the most cost efficient utilization. Among all the designs, the most cost-efficient designs are obtained when the area utilization rate is higher than the default utilization, implying the significant impact of chip area on fabrication cost. In 2D designs, the optimal utilization decreases when the gate counts increases. The optimal utilization is achieved when all the metal layers are fully utilized for signal routing. For small designs, the top metal layer is usually with low utilization, only a small portion of interconnects is used. The large designs with higher gate counts require more routing resources causing high utilization even in high metal layers. It makes the large designs harder to shrink the chip area. In this results, 89% chip area utilization is the optimal utilization for 5M gate count designs, but for designs with 150M gate counts, the optimal utilization decreases to 72%. After the design optimization, the costs of 2D and 3D designs are significantly reduced. Cost savings are shown in Table III. 2D designs have the highest saving on average except the last design when the original design before optimization is already the best one. TSV and interposer based designs have significant cost saving after the optimization. For large designs, the benefits are higher because of larger flexibility in chip area. Although chip area reduction is the major factor for cost saving, metal layer reduction also provides cost saving compared to the baseline designs. Only one metal layer is reduced in the experiment because significant area overhead is introduced after aggressive metal layer reduction resulting in high fabrication cost. The cost saving results from metal layer reduction is shown Table IV. In order to maintain feasible routing after one metal layer reduction, chip area is increased!

TABLE II RESULTS OF OPTIMAL DESIGNS AFTER COST-DRIVEN OPTIMIZATION PROCESS FOR 2D, TSV AND INTERPOSER BASED 3D DESIGNS Gate Count 2D Design TSV-based 3D interposer-based 3D metal layer area util (%) cost tier num metal layers area util (%) cost metal layer area util(%) cost 5M 3 89 7.17 2 3 89 17.06 3 89 16.51 10M 3 81 8.18 2 3 89 17.93 3 89 17.44 50M 5 79 18.34 2 4 79 27.26 4 79 27.47 100M 6 78 38.81 2 5 79 41.88 5 79 43.18 150M 6 72 78.37 2 5 73 65.72 5 73 68.77 200M 7 71 131.85 3 5 75 87.97 6 78 89.45 250M 8 70 204.62 4 5 76 111.52 6 75 127.01 TABLE III COST SAVINGS OF 2D, TSV AND INTERPOSER BASED 3D DESIGNS FOR OPTIMAL AREA UTILIZATION WITH DEFAULT METAL LAYERS Gate Count 50M 100M 150M 200M 250M 2D Savings 5.34 22.54% 17.09 30.58% 25.83 24.79% 48.53 26.9% 0 0% 3D TSV 3.86 12.41% 11.04 20.87% 15.06 18.65% 21.48 19.62% 27.52 19.79% 3D Interposer 3.96 12.59% 11.25 20.68% 15.03 17.94% 33.65 28.50% 45.82 26.51% to provide additional routing resources. The area increment percentage depends on different designs. For example, designs with low utilized top metal layer can have metal layer reduction with very small area overhead. But for some designs, area needs to increase about 10% to enable one metal layer reduction, thus the cost saving is negative since cost overhead for larger chip area overweighs the cost saving from metal layer reduction. For 2D designs with 200M gate counts, when the area increases only 1%, the required metal layer can be reduced. Thus the cost saving for the design is high. For 3 layer 3D TSV designs with 50M gate counts, in order to gain one metal layer reduction, the area needs to increase 20%, so no cost saving can be achieved from metal layer reduction. On average, the area increment is within 10% for designs to have one metal layer reduced in 2D and 3D cases. TABLE IV COST SAVINGS OF 2D, TSV-BASED, AND INTERPOSER-BASED 3D DESIGNS WITH METAL LAYER REDUCTION TECHNIQUE Gate Count 50M 100M 150M 200M 250M 2D Savings 3.01 10.19 6.04 38.57-11.78 2 layer 3D TSV -8.46 6.11 3.08 20.73 21.09 3 layer 3D TSV -4.11 0.98 9.28 7.83 2.69 4 layer 3D TSV -3.85 3.16 0.46 12.51 11.62 3D Interposer 1.43 5.94 2.16 20.18 19.68 VI. CONCLUSION 3D IC is a promising solution for performance improvement. However, the fabrication cost is the major hinder for designers to adapt 3D designs. In this paper, a 3D design costdriven optimization flow is proposed to find the cost-efficient designs in 2D and 3D cases. Both TSV and interposer-based 3D structures are considered. The cost-efficient designs are found with balanced area utilization and metal layers. The experiment results show that for both 2D and 3D cases, metal layer reduction and optimal area utilization exploration can achieve cost saving compared to baseline designs. We can achieve cost saving up to 19% for TSV-based 3D designs, and 26% for interposer-based 3D designs, respectively, compared to the baseline designs. REFERENCES [1] Y. Xie, G. H. Loh, and et al., Design Space Exploration for 3D Architectures, in J. Emerg. Technol. Comput. Systs., 2006. [2] W. R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, A. M. Sule, M. Steer, and P. Franzon, Demystifying 3D ICs: the Pros and Cons of Going Vertical, Design Test of Comoputer, IEEE, vol. 22, no. 6, pp. 498 510, 2005. [3] J. U. Knickerbocker, P. S. Andry, B. Dang, R. R. Horton, M. J. Interrante, C. S. Patel, R. J. Polastre, K. Sakuma, R. Sirdeshmukh, E. J. Sprogis, S. M. Sri-Jayantha, A. M. Stephen, A. W. Topol, C. K. Tsang, B. C. Webb, and S. L. Wright, Three-Dimensional Silicon Integration, IBM Journal of Research and Development, 2008. [4] X. Dong, J. Zhao, and Y. Xie, Fabrication Cost Analysis and Cost- Aware Design Space Exploration for 3-D ICs, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 2010. [5] IC Cost Model, 2012 revision, IC Knowledge LLC, 2012. [6] K. Zoschke, J. Wolf, C. Lopper, I. Kuna, N. Jurgensen, V. Glaw, K. Samulewicz, J. Roder, M. Wilke, O. Wunsch, M. Klein, M. Suchodoletz, H. Oppermann, T. Braun, R. Wieland, and O. Ehrmann, TSV Based Silicon Interposer Technology for Wafer Level Fabrication of 3D SiP Modules, in ECTC, 2011 IEEE 61st, 2011. [7] K. Ohsawa, H. Odaira, M. Ohsawa, S. Hirade, T. Lijima, and S. Pierce, 3-D Assembly Interposer Technology for Next-Generation Integrated Systems, in ISSCC IEEE International, 2001. [8] V. Rao, H. S. Wee, L. Vincent, L. H. Yu, L. Ebin, R. Nagarajan, C. T. Chong, X. Zhang, and P. Damaruganath, TSV Interposer Fabrication for 3D IC Packaging, in EPTC 09. 11th, 2009. [9] R. Wieland, K. Zoschke, N. Ju andrgensen, R. Merkel, L. Nebrich, and J. Wolf, Silicon-Interposer with High Density Cu-Filled TSVs, in 3DIC, 2010 IEEE International, 2010. [10] M. Sunohara, T. Tokunaga, T. Kurihara, and M. Higashi, Silicon Interposer with TSVs (Through Silicon Vias) and Find Multilayer Wiring, in ECTC, 2008 IEEE 58st, 2008. [11] R. Weerasekera, L.-R. Zheng, D. Pamunuwa, and H. Tenhunen, Extending Systems-On-Chip to the Third Dimension: Performance, Cost and Technological Tradeoffs, in ICCAD, 2007. [12] J. Davis, V. De, and J. Meindl, A Stochastic Wire-Length Distribution for Gigascale Integration (GSI). I. Derivation and Validation, Electron Devices, IEEE Transactions on, 1998. [13] B. Landman and R. Russo, On a Pin Versus Block Relationship for Partitions of Logic Graphs, Computers, IEEE Transactions on, vol. C- 20, no. 12, pp. 1469 1479, dec. 1971. [14] P. Christie, Rent Exponent Prediction Methods, VLSI Systems, IEEE Transactions on, 2000. [15] A. Kahng, S. Mantik, and D. Stroobandt, Toward Accurate Models of Achievable Routing, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 20, no. 5, pp. 648 659, may 2001. [16] G. H. Loh, Y. Xie, and B. Black, Processor Design in 3D Die-Stacking Technologies, IEEE MICRO, 2007. [17] Y. Chen, D. Niu, Y. Xie, and K. Chakrabarty, Cost-Effective Integration of Three-Dimensional (3D) ICs Emphasizing Testing Cost Analysis, in ICCAD, 2010. [18] NanGate FreePDK45 Generic Open Cell Library, http://www.si2.org/ openeda.si2.org/projects/nangatelib.