High Performance AXI Protocol Based Improved DDR3 Memory Controller With Improved Memory Bandwidth

Similar documents
AXI Compliant DDR3 Controller

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November ISSN

Design and Implementation of High Performance DDR3 SDRAM controller

Implementation of Interface between AXI and DDR3 memory Controller for SoC

ISSN Vol.05, Issue.12, December-2017, Pages:

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD

2GB DDR3 SDRAM SODIMM with SPD

Performance Evolution of DDR3 SDRAM Controller for Communication Networks

Chapter 5 Internal Memory

CS429: Computer Organization and Architecture

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0031 PCB PART NO. :

Computer Organization. 8th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory

CS429: Computer Organization and Architecture

Internal Memory. Computer Architecture. Outline. Memory Hierarchy. Semiconductor Memory Types. Copyright 2000 N. AYDIN. All rights reserved.

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS

ECE 485/585 Microprocessor System Design

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC

Unleashing the Power of Embedded DRAM

Organization. 5.1 Semiconductor Main Memory. William Stallings Computer Organization and Architecture 6th Edition

DDR SDRAM UDIMM. Draft 9/ 9/ MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site:

DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB

ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 7: Memory Organization Part II

Memory technology and optimizations ( 2.3) Main Memory

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0026 PCB PART NO. :

Design of Hardware Bus Monitoring Unit (HBMU) for Generic Power Management Unit (GPMU) in Soc

ECE7995 (4) Basics of Memory Hierarchy. [Adapted from Mary Jane Irwin s slides (PSU)]

COSC 6385 Computer Architecture - Memory Hierarchies (III)

ENEE 759H, Spring 2005 Memory Systems: Architecture and

Architecture of An AHB Compliant SDRAM Memory Controller

Improved DDR3 SDRAM with Added Proficiencies

Memory Challenges. Issues & challenges in memory design: Cost Performance Power Scalability

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation

Design and Implementation of Refresh and Timing Controller Unit for LPDDR2 Memory Controller

Multilevel Memories. Joel Emer Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164

EEM 486: Computer Architecture. Lecture 9. Memory

CS311 Lecture 21: SRAM/DRAM/FLASH

IMPLEMENTATION OF DDR I SDRAM MEMORY CONTROLLER USING ACTEL FPGA

Mainstream Computer System Components

2GB DDR3 SDRAM 72bit SO-DIMM

Chapter 8 Memory Basics

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

ECSE-2610 Computer Components & Operations (COCO)

An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip

COMPUTER ARCHITECTURES

Memory Device Evolution

ECE 485/585 Microprocessor System Design

Basic Organization Memory Cell Operation. CSCI 4717 Computer Architecture. ROM Uses. Random Access Memory. Semiconductor Memory Types

CS 261 Fall Mike Lam, Professor. Memory

AS THE MOBILE electronics market matures, third-generation

VERIFICATION OF DRIVER LOGIC USING AMBA- AXI UVM

Large and Fast: Exploiting Memory Hierarchy

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB

SDRAM DDR3 256MX8 ½ Density Device Technical Note

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit)

Refresh-Aware DDR3 Barrel Memory Controller with Deterministic Functionality

Mainstream Computer System Components CPU Core 2 GHz GHz 4-way Superscaler (RISC or RISC-core (x86): Dynamic scheduling, Hardware speculation

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit)

EECS150 - Digital Design Lecture 17 Memory 2

DRAM Main Memory. Dual Inline Memory Module (DIMM)

Mark Redekopp, All rights reserved. EE 352 Unit 10. Memory System Overview SRAM vs. DRAM DMA & Endian-ness

Lecture 15: DRAM Main Memory Systems. Today: DRAM basics and innovations (Section 2.3)

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011

ECE 152 Introduction to Computer Architecture

Designing of DDR SDRAM Controller with User Interface

Random-Access Memory (RAM) CS429: Computer Organization and Architecture. SRAM and DRAM. Flash / RAM Summary. Storage Technologies

CS650 Computer Architecture. Lecture 9 Memory Hierarchy - Main Memory

DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site:

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011

Real Time Embedded Systems

Memory Systems for Embedded Applications. Chapter 4 (Sections )

CS 152 Computer Architecture and Engineering

1. The values of t RCD and t RP for -335 modules show 18ns to align with industry specifications; actual DDR SDRAM device specifications are 15ns.

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 13

The Memory Component

Computer Systems Laboratory Sungkyunkwan University

DDR SDRAM SODIMM MT8VDDT1664H 128MB 1. MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site:

DIRECT Rambus DRAM has a high-speed interface of

Key Features 240-pin, dual in-line memory module (DIMM) ECC 1-bit error detection and correction. Registered inputs with one-clock delay.

4GB DDR3 SDRAM SO-DIMM

DDR SDRAM SODIMM MT16VDDF6464H 512MB MT16VDDF12864H 1GB

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit)

HLNAND: A New Standard for High Performance Flash Memory

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week)

Introduction read-only memory random access memory

A Universal Test Pattern Generator for DDR SDRAM *

SDRAM DDR3 512MX8 ½ Density Device Technical Note

Computer Memory. Textbook: Chapter 1

The Memory Hierarchy 1

Summer 2003 Lecture 18 07/09/03

CENG4480 Lecture 09: Memory 1

Very Large Scale Integration (VLSI)

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy

TwinDie 1.35V DDR3L SDRAM

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

440GX Application Note

Transcription:

High Performance AXI Protocol Based Improved DDR3 Memory Controller With Improved Memory Bandwidth Manoj Gupta a, Dr. Ashok Kumar Nagawat b a Research Scholar, Faculty of Science, University of Rajasthan, Jaipur, India. b Professor, Department of Physics, University of Rajasthan, Jaipur, India. Abstract The DDR3 Memory has backward compatibility with existing DDR2 Memory and power saving advantage. To increase the performance of DDR3 memory controller, we fire read/write transaction with High speed so require Highspeed AXI (Advance extensible Interface) Bus. This paper deals with the high performance AXI protocol based improved DDR3 memory controller with improved memory bandwidth. In this paper, controller clock frequency is 400 MHz and CAS Latency is 10. Keywords: Prefetch Operation, Refresh Operation, AXI Protocol Interface Block, Data Strobe Signal, Write operation, Measurement of bandwidth Reset: The Reset pin is used for completely erasing the data in the DDR3 Memory. This is the unique feature available in DDR3 Memory only. This pin should be used with caution because it will remove the complete data [2,3,5]. Data strobe signal in Read cycle: INTRODUCTION PREFETCH OPERATION: DDR2 SDRAM uses the 4-bit prefetch operation and DDR3 SDRAM uses the 8-bit prefetch operation [1, 4]. Figure 1.2: shown Read data aligned with DQS signal Data strobe signal in Write cycle: Figure 1.3: Shown Write data aligned with DQS signal DESIGN METHODOLOGY: Figure 1.1: 4-bit Prefetch, 2-bit Prefetch and 1-bit Prefetch Operation in DDR SDRAM ARBITER OPERATION (AXI PROTOCOL INTERFACE BLOCK) Arbiter block has two different inputs write and read commands. Polling priority has been used give service to one of these commands [6, 8]. Write command is served 4448

first if AW_LAST of that command is there and command FIFO is not empty. AW_LAST bit decide that complete data is in FIFO means it count the AW_LAST bit and when it is not zero then service write command otherwise not. In the read command case when command FIFO is not empty it will issue read command otherwise not [7, 9, 11 ]. Refresh Command: The 1Gb DDR3 SDRAM requires refresh cycles at an average interval of 7.7256μs (MAX). This command is shown in figure 2.4. Figure 2.1: Block Diagram of AXI Protocol Interface Block AXI CONTROL MANAGER : Self Refresh Command: Figure 2.4 Refresh Command Figure 2.2 Block Diagram of AXI Control Manager DDR3 Memory Controller: The internal blocks of DDR3 Controller are shown in the figure 2.3. Figure 2.5 Self Refresh Command Figure 2.3 Block Diagram of DDR3 Controller Block SIMULATION, TESTING AND VERIFICATION The generator fires the random test pattern of random burst size, burst length and addresses, which gets stored in memory in different locations. Memory is used to store the data and different transactions. Monitor block is being used for sampling and driving the input/output of DUT on the AXI 4449

Protocol. Scoreboard is used to trace the error coming in which location and which transaction [12,15]. Refresh Operation: The DDR3 Memory requires the refresh at an average interval of 7.4 μs. A maximum of eight refresh commands can be posed to any given DRAM [14]. Read command serviced by AXI Protocol Interface Block: When read commad FIFO is not empty (aw_ready asserted high) then we issue read command and if no write command is there we can issue another read command. The all read commands are stored in FIFO when ar_valid is asserted high and command FIFO is not full. The read command has higher priority then write command. Figure 3.1: Simulation Result of Refresh Operation Write operation serviced by AXI Protocol Interface block: When aw_last_in signal is asserted high and write command FIFO is not empty (aw_ready asserted high) then we issue write command and if no read command is there we can issue another write command [5]. The all write commands and data are stored in FIFO when aw_valid and aw_data_valid is asserted high respectively and FIFO is not full. The Results for write operation are shown in Figure 3.2. Figure 3.3: Read command serviced by AXI Protocol Interface Block Write Response of AXI Protocol Interface Block: Write response channel has three different signals wready, w_response and w_valid_resp_out signal. When we pass write command information to Burst manager block then we also store w_response has OKEY response and when master give wready high then we pass w_valid_resp_out and w_response information to master [8]. In this simulation result shown (in Figure 3.4) w_response, wready and w_vlaid_resp_out signals. Figure 3.2: Write operation serviced by AXI Protocol Interface block Figure 3.4: Write Response of AXI Protocol Interface Block 4450

Measurement Results: Measurement Settings: Measurement Cycle duration: 200us Memory refresh interval is 95% of refresh period in 7.8µs. Addition bus turnaround cycle is used. Transaction Burst Length is 1,2,4,8,16. Optimal address alignment is used. Controller Clock frequency is 400 MHz. CAS Latency is 10. Measurement results are represented in percentages of maximum memory bandwidth. Measurement of bandwidth in sequential write mode CONCLUSION Measurement of Bandwidth in sequential read mode DDR3 SDRAM core architecture doubles the internal data prefetch from 4n-bit wide transfers to 8n-bit-wide transfers. In DDR3-800 SDRAM, the core array only needs to operate at half the data-rate frequency. However, increasing the prefetch to 8n increases the die size because the internal I/O paths are doubled. With half the data rate frequency, but twice the I/O paths, a DDR3-800 SDRAM has the same core frequency as a DDR2-400 device. In addition to core frequency, DDR2 and DDR3 SDRAM will share a similar migration path. DDR2 SDRAM was defined with 256Mb 4- bank devices at its inception, had 512Mb 4-bank and 1Gb 8- bank devices added for mainstream production, and was eventually developed with 2Gb 8-bank devices for highcapacity memory systems. REFERENCES [1] DAEWON HA ET AL(1998) SELF-ALIGNED LOCAL CHANNEL IMPLANTATION USING REVERSE GATE PATTERN FOR DEEP SUBMICRON DYNAMIC RANDOM ACCESS MEMORY CELL TRANSISTORS, JAPANESE JOURNAL OF APPLIED PHYSICS, 37(1), NUMBER 3B. [2] Chang L et al (1998) Simulation of Semiconductor Processes and Devices: Minimizing Bitline Coupling Noise in DRAM with Capacitor- Equiplanar-to-Bitline (CEB) Cell Structure, pp 324-327. [3] Hongil Yoon et al (1999), A 2.5V 333Mb/s/pin 1Gb Double Data Rate SDRAM, IEEE Int. Solid State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp.412-414. [4] Pilo H. et al (2003), A 5.6-ns Random Cycle 144- Mb DRAM With 1.4 Gb/s/pin and DDR3-SRAM Interface IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 11, NOVEMBER 2003. [5] Uk-Rae Cho et al (2003), A 1.2-V 1.5-Gb/s 72-Mb DDR3 SRAM, IEEE JOURNAL OF SOLID- STATE CIRCUITS, VOL. 38, NO. 11, NOVEMBER 2003. [6] B. Bhat et al (2010), Making DRAM Refresh Predictable, 2010 22nd Euromicro Conference on, pp. 145-154, 6-9July 2010. [7] Kim N.S. et al (2003) Programmable and Automatically Adjustable On-Die Terminator for DDR3-SRAM interface, IEEE 2003 CUSTOM INTEGRATED CIRCUITS CONFERENCE. [8] Ho Young Song et al (2003), A 1.2Gb/s/pin Double Data Rate SDRAM with On-Die- Termination, ISSCC 2003 / SESSION 17 / SRAM AND DRAM / PAPER 17.8. [9] Churoo (Chul-Woo) Park et al (2006), A 512-Mb DDR3 SDRAM Prototype With CIO Minimization and Self-Calibration Techniques, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 4, APRIL 2006. [10] Sung-Bae Park. "Performance monitor unit design for an AXI-based multi-core SoC platform", Proceedings of the 2007 ACM symposium on Applied computing - SAC 07 SAC 07, 2007. [11] X. Yang et al (2009) DDR3 based lookup circuit for high-performance network processing IEEE 4451

International SOC Conference, SOCC 2009.pp 351-354. [12] K. Itoh, et al.(1997), "Limitations and challenges of multigigabit DRAM chip design", IEEE J. Solid- State Circuits, vol. 32, pp. 624-634, 1997. [13] Ahn Gijung (2000), "A 2-Gbaud 0.7-V Swing Voltage-Mode Driver and On-Chip Terminator for High-Speed NRZ Data Transmission", IEEE, Journal of Solid-State Circuits, vol. 35, 2000. [14] T. Mladenov (2006), "Bandwidth, Area Efficient and Target Device Independent DDR SDRAM Controller", Proceedings of World Academy of Science, Engineering and Technology, Vol. 18, De. 2006, pp. 102-106. [15] T. Sunaga, et al (1997)., "An eight-bit prefetch circuit for high-bandwidth DRAM',s ", IEEE J. Solid-State Circuits, vol. 32, no. 1, pp. 105-110, 1997. 4452