Chapter 2 Vivado Design Tools

Similar documents
Vivado Design Suite Tutorial. Design Flows Overview

Basic FPGA Tutorial. using Verilog and VIVADO to design two frequencies PWM modulator system /11/29 1

Vivado Design Suite User Guide

Vivado Design Suite User Guide

Vivado Design Suite User Guide

Vivado Design Suite User Guide

Vivado Design Suite User Guide

Vivado Design Suite User Guide

Vivado Tutorial. Introduction. Objectives. Procedure

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

ELEC 204 Digital System Design LABORATORY MANUAL

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial

Quick Start Guide ZedboardOLED Display Controller IP v1.0

Dynamic Partial Reconfiguration

ELEC 4200 Lab#0 Tutorial

Creating a base Zynq design with Vivado IPI

Copyright 2014 Xilinx

Vivado Design Suite User Guide. Designing IP Subsystems Using IP Integrator

Advanced module: Video en/decoder on Virtex 5

Introduction. About this tutorial. How to use this tutorial

Adding Custom IP to the System

Introduction to Embedded System Design using Zynq

Vivado Design Suite User Guide:

Use Vivado to build an Embedded System

Lab 3 Verilog Simulation Mapping

Introduction to Xilinx Vivado tools

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

Xilinx Vivado/SDK Tutorial

Vivado Design Suite Tutorial: Implementation

AN 307: Intel FPGA Design Flow for Xilinx* Users

Vivado Design Suite Tutorial. Designing with IP

First Start with Vivado

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011

Using Revision Control In Vivado Tim Vanevenhoven

A GENERATION AHEAD SEMINAR SERIES

Creating a Processor System Lab

PlanAhead Release Notes

TP : System on Chip (SoC) 1

ChipScope Inserter flow. To see the Chipscope added from XPS flow, please skip to page 21. For ChipScope within Planahead, please skip to page 23.

Chapter 3. HDL Editor. Introduction. Figure 3-1. HDL Editor Welcome Screen. Introduction 3-1

Vivado Walkthrough ECGR Fall 2015

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

Vivado Synthesis Tips & Tricks

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Don t expect to be able to write and debug your code during the lab session.

Getting started with Digilent NetFPGA SUME, a Xilinx Virtex 7 FPGA board for high performance computing and networking systems

Xilinx Answer Xilinx PCI Express Windows DMA Drivers and Software Guide

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

CE 435 Embedded Systems. Spring Lab 3. Adding Custom IP to the SoC Hardware Debug. CE435 Embedded Systems

Firstly, lets build the example design that shall be used throughout this tutorial by following the steps below:

LED display manager documentation

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation

Verilog Simulation Mapping

Lab 1: CORDIC Design Due Friday, September 8, 2017, 11:59pm

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

Integrating LogiCORE SEM IP in Zynq UltraScale+ Devices

Migration from HEW to e 2 studio Development Tools > IDEs

Vivado Design Suite Tutorial. Designing IP Subsystems Using IP Integrator

aijuboard Manual Julius Schmidt

Author A.Kishore/Sachin WinSCP

Use Vivado to build an Embedded System

Lab 1 - Zynq RTL Design Flow

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

Vivado Design Suite User Guide. Design Analysis and Closure Techniques

ISim In-Depth Tutorial. UG682 (v13.4) January 18, 2012

Chapter 2 Getting Hands on Altera Quartus II Software

Writing Basic Software Application

Designing with Nios II Processor for Hardware Engineers

Dynamic Languages Toolkit. Presented by Andrey Tarantsov

Verilog Design Entry, Synthesis, and Behavioral Simulation

Introduction to the Qsys System Integration Tool

Alameda (MAXREFDES24#) ZedBoard Quick Start Guide

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation

RTL and Technology Schematic Viewers Tutorial. UG685 (v13.1) March 1, 2011

Manually Configuring IIS

A QUICK OVERVIEW OF THE OMNeT++ IDE

MANUAL XILINX ISE PROJECT NAVIGATOR

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810

VCU110 GT IBERT Design Creation

WinSCP. Author A.Kishore/Sachin

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE.

Vivado Design Suite Tutorial. Using Constraints

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

182 Introduction to Microsoft Visual InterDev 6 Chapter 7

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System

VIVADO TUTORIAL- TIMING AND POWER ANALYSIS

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16

Hardware Demonstration Design

HP Data Protector Integration with Autonomy IDOL Server

Quick Front-to-Back Overview Tutorial

Project 1a: Hello World!

Vivado Design Suite Tutorial. Designing with IP

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5

Avnet Zynq Mini Module Plus Embedded Design

1 Preface About this Manual Intended Audience Revision History Document Conventions Version...

Transcription:

Chapter 2 Vivado Design Tools Sudipto Chakraborty The Vivado suite of design tools contain services that support all phases of FPGA designs starting from design entry, simulation, synthesis, place and route, bitstream generation, debugging, and verification as well as the development of software targeted for these FPGAs. You can interact with the Vivado environment in multiple ways. This includes a GUI-based interface for interactive users, as well as a command-line interface if you prefer to use batch mode. Vivado also supports a scripting interface with a rich set of Tcl commands. These multiple modes of interaction can also be combined in different ways to suit the exact needs of users. These are explained in detail below. 2.1 Project vs. Non-project Mode There are two primary ways to invoke design flows in Vivado using a project or a non-project mode. In the first case, you start by creating a project to manage all your design sources as well as output generated from executing design flows. When a project is created, Vivado creates a predetermined directory structure on disk, which contains folders for source files, your configurations, as well as output data. Once a project has been created, you can enter and leave the Vivado environment as needed, and each time you can start from where you left off, without having to start from scratch each time. The project-based environment supports the notion of runs which allow users to invoke design flows like synthesis and implementation. You are allowed to customize the design environment in multiple ways, and these configurations are also persisted in the project environment in the form of metadata. S. Chakraborty (*) Xilinx, Longmont, CO, USA e-mail: sudipto@xilinx.com Springer International Publishing Switzerland 2017 S. Churiwala (ed.), Designing with Xilinx FPGAs, DOI 10.1007/978-3-319-42438-5_2 17

18 S. Chakraborty The directory structure created for a project is as follows: <project>/ <project>.xpr <project>.srcs/ <project>.ip_user_files/ <project>.runs/ <project>.sim/ <project>.hw/ <project>.cache/ <project>.ipdef/ : the main project file in text format : directory for sources local to a project : directory for user accessible IP files : directory for output data from synth/impl : directory for output data from simulation : directory for hardware debug related data : directory for locally cached data : directory for local IP definitions Not all of the above mentioned directories will always be created. For example, a Vivado project supports referring to design sources remotely from their original location or copying them locally inside the project directory structure, based on user preference. The <project>.srcs directory is only created if there are such local copies of source files present. In the non-project mode, you interact more directly with the Vivado environment using lower level commands. This mode is called non-project because you do not directly create a project to get your design flows to complete. However, it is important to note that a project object does exist in this case also; it is created automatically to manage certain aspects of the design flows. This project object exists only in memory while your session is active and does not create the on-disk structure described above. Since there is no automatic persistence of data on disk, all data is maintained only in memory and available only during the current session. Hence, you need to make sure that all necessary output is generated before you exit the current nonproject session of Vivado. One interesting note here is that the project mode of Vivado is actually built on top of the non-project mode, as explained in Sect. 2.2.1. 2.2 GUI, Command Line, and Tcl Vivado offers a fully interactive graphical user interface to allow you to more easily manage your design sources and go through all phases of the design flow. Vivado also supports doing all these operations in a non-gui, command-line environment. The common connection between these two interfaces is the Tcl commands that drive Vivado. Almost all operations performed during the GUI mode end up issuing a Tcl command to the core Vivado engine. These commands are shown in the Tcl console in the GUI and are also captured in a journal file, which is typically located where Vivado was started from, and the file is named vivado.jou. When working in command-line mode, these Tcl commands can be issued directly without needing the presence of a GUI.

2 Vivado Design Tools 19 2.2.1 Interaction with Project/Non-Project While it is common for GUI-based users to typically use the project mode, it is also possible to execute the flows in non-project mode while being in the GUI. Similarly, command-line users can choose to use either project mode or non-project mode. The Tcl commands supported for project mode are higher level, macro style commands which perform many functionalities under a single command. The Tcl commands for the non-project mode, on the other hand, are more granular WYSIWYG (what you see is what you get) type of commands which only perform the specified operation, no more no less. Some project mode commands actually use many non-project commands internally to perform the desired operation. This explains the comment in Sect. 2.1 that project mode in Vivado is actually built on top of the nonproject mode. Scripts 1 and 2 are example scripts for project mode and non-project mode, which both perform the same operation, but the non-project script is more verbose since it uses more granular commands. Script 1: Project mode example Tcl script create_project project_1 add_files top.v child.v launch_runs -to_step write_bitstream impl_1 close_project Script 2: Non-Project Mode Tcl Script read_verilog top.v read_verilog child.v synth_design -top top opt_design place_design route_design report_timing_summary write_checkpoint top_routed.dcp write_bitstream top.bit 2.2.2 Runs Infrastructure In the Script 1 and Script 2 examples, the launch_runs command is a macro command which is part of the Vivado runs infrastructure. This command internally creates a Tcl script which looks similar to the non-project example Script 2 and automatically launches this script with a new Vivado session to execute the flow.

20 S. Chakraborty Runs infrastructure allows managing the output products from design flow automatically. It also maintains status of the flow execution, such that if a design source file changes, it automatically lets you know that the previously generated output product is now out-of-date and if you relaunch the end step of a run, it automatically determines which previous steps need to be performed first and executes them automatically. The runs infrastructure also allows parallel execution of independent portions of the design flows to complete the overall flow quicker. These parallel runs can be executed on multiple processors in the same host machine, or if a compute farm like LSF or GRID is available, the multiple runs can be executed on different host machines in the compute farm. 2.3 Overview of Vivado GUI This section provides a high level overview of the Vivado GUI and some recommendation for first-time users. Vivado is designed based on a concept of layered complexity. This means using the tool for common tasks and designs is made as automated and easy as possible without having to have detailed knowledge of the tool. However, once you get more familiarized with the tool and want to use advanced features to control your design flows in a customized manner, Vivado allows you with higher control with finer granularity. Vivado GUI and project-based mode is highly recommended for first-time users or those who want to get quickly up and running. Using the GUI makes it easy to use the various wizards (like New Project wizard) to get started. First-time users can leave all settings at default and let the tool decide best automatic options. There are several example projects included with Vivado which you can readily open and use to try out the design flows. If you want to try your own design, the only two minimum required pieces of input are an HDL file to describe the design and a constraint file to specify the timing intent and pin mapping of the in/out signals to specific FPGA pins. Figure 2.1 shows the screenshot of the Vivado GUI with some of the key areas highlighted: 1. This area is called the Flow Navigator. It provides easy, single click access to the common design flow steps and configuration options. 2. This area shows the sources in the design. The first tab here shows a graphical view of the sources with modules and instance relationships. The other tabs in this area show other key aspects of design sources. 3. This area shows the properties of the items selected in the GUI. 4. This area shows the Tcl console in the GUI as well as various reports and design run related details. 5. This area shows the built-in text editor, information related to project summary, etc. 6. This is a view of a design open in the GUI, which is key to all the design implementation steps.

2 Vivado Design Tools 21 Fig. 2.1 Overall organization of Vivado GUI Starting in the GUI and following the wizards make it easy to get started with the Vivado design flow. At the same time, as the various operations are being performed in the GUI, Vivado generates equivalent Tcl commands for those operations in the Tcl console area, as well as in the journal file as mentioned in Sect. 2.2. Using these Tcl commands, you can later customize the flow or build other similar flows.

http://www.springer.com/978-3-319-42437-8