My Second FPGA for Altera DE2-115 Board

Similar documents
My First FPGA for Altera DE2-115 Board

Timing Analyzer Quick-Start Tutorial

My First Nios II for Altera DE2-115 Board

Intel Quartus Prime Standard Edition Handbook Volume 3

Digital Design and Computer Architecture

2. Learn how to use Bus Functional Models (BFM) and write test cases for verifying your design.

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

Cover TBD. intel Quartus prime Design software

CHAPTER 1 INTRODUCTION... 1 CHAPTER 2 ASSIGN THE DEVICE... 7 CHAPTER 3 DESIGN ENTRY CHAPTER 4 COMPILE AND VERIFY YOUR DESIGN...

Cover TBD. intel Quartus prime Design software

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA

Quick Tutorial for Quartus II & ModelSim Altera

Intel Quartus Prime Standard Edition Handbook Volume 3

Behavioral Modeling and Timing Constraints

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

CSEE W4840 Embedded System Design Lab 1

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

ENSC 350 ModelSim Altera Tutorial

Experiment VERI: FPGA Design with Verilog (Part 2) (webpage: /)

Quartus II Version 14.0 Tutorial Created September 10, 2014; Last Updated January 9, 2017

How to Customize the ModelSim Wave View in the Altera Quartus Simulation Flow

A STANDARDIZED PROCEDURE FOR CLOSING TIMING ON OpenHPSDR FPGA FIRMWARE DESIGNS

Intel Quartus Prime Pro Edition Handbook Volume 3

CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI)

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

CSEE W4840 Embedded System Design Lab 1

Simulating Nios II Embedded Processor Designs

CSEE W4840 Embedded System Design Lab 1

2. Mentor Graphics ModelSim and QuestaSim Support

SD Card Controller IP Specification

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

Lecture 5: Aldec Active-HDL Simulator

Altera Technical Training Quartus II Software Design

DDR & DDR2 SDRAM Controller Compiler

2.5G Reed-Solomon II MegaCore Function Reference Design

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design

SmartTime for Libero SoC v11.5

DDR & DDR2 SDRAM Controller Compiler

Design Rules and Min Timing

MicroBlaze Tutorial on EDK 10.1 using Sparatan III E Behavioural Simulation of MicroBlaze System

TUTORIALS FOR MAX3000A CPLD DEVICES

Intel Quartus Prime Standard Edition User Guide

DDR & DDR2 SDRAM Controller Compiler

Lab 6 : Introduction to Verilog

ELEC 4200 Lab#0 Tutorial

Xilinx ChipScope ICON/VIO/ILA Tutorial

EE 231 Fall Lab 1: Introduction to Verilog HDL and Altera IDE

TUTORIALS FOR MAX3000A CPLD DEVICES

CHAPTER 1 INTRODUCTION Features About the KIT Getting Help... 7 CHAPTER 2 DE0-NANO BOARD ARCHITECTURE...

Turbo Encoder Co-processor Reference Design

Verilog Simulation Mapping

Quartus II Tutorial. September 10, 2014 Quartus II Version 14.0

QuartusII.doc 25/02/2005 Page 1

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

QUARTUS II Altera Corporation

King Fahd University of Petroleum and Minerals. Computer Engineering Department. COE 561 Digital Systems Design and Synthesis (Course Activity)

Chapter 2: Hardware Design Flow Using Verilog in Quartus II

Cell-Based Design Flow. TA : 吳廸優

Designing RGMII Interface with FPGA and HardCopy Devices

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

NIOS CPU Based Embedded Computer System on Programmable Chip

Multiple Clocks and Timing Exceptions

Verilog Simulation & Debugging Tools

Terasic DE0 Field Programmable Gate Array (FPGA) Development Board

Getting a Quick Start 2

Tutorial on Quartus II Introduction Using Verilog Code

Compiler User Guide. Intel Quartus Prime Pro Edition. Updated for Intel Quartus Prime Design Suite: Subscribe Send Feedback

Experiment 3 Introduction to Verilog Programming using Quartus II software Prepared by: Eng. Shatha Awawdeh, Eng.Eman Abu_Zaitoun

Specifying Timing Exceptions

1.1 Features Block Diagram of the DE0-Nano Board Power-up the DE0-Nano Board Configuring the Cyclone IV FPGA...

Managing Quartus II Projects

Introduction to the Qsys System Integration Tool

Model-Based Design for Video/Image Processing Applications

University of Twente. VHDL tutorial For internal use only. Faculty of Electrical Engineering, Mathematics and Computer Science. E.

High Speed Memory Interfacing 800MHz DDR3 Memory Lab

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

Evaluation of the RTL Synthesis Tools for FPGA/PLD Design. M.Matveev. Rice University. August 10, 2001

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

A. Setting Up the Environment a. ~/ece394 % mkdir synopsys b.

Block-Based Design User Guide

Intel High Level Synthesis Compiler

CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim

EE 4755 Digital Design Using Hardware Description Languages

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

CME341 Assignment 4. module if\_else\_combinational\_logic( input [3:0] a, b, output reg [3:0] y ); * begin

DC-Tcl Procedures. Learning Objectives. After completing this lab, you should be able to: Write generic DC-Tcl procedures. Lab Duration: 30 minutes

SignalTap II with Verilog Designs. 1 Introduction. For Quartus II 13.1

2 nd Year Laboratory. Experiment: FPGA Design with Verilog. Department of Electrical & Electronic Engineering. Imperial College London.

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example

SOPC LAB1. I. Introduction. II. Lab contents. 4-bit count up counter. Advanced VLSI Due Wednesday, 01/08/2003

Lab 1.5 (Warmup): Synthesis Workflow and SystemVerilog Register File Not Due

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Intel Quartus Prime Pro Edition User Guide

Using ModelSim to Simulate Logic Circuits in VHDL Designs. 1 Introduction. For Quartus II 13.0

QDRII SRAM Controller MegaCore Function User Guide

Sidewinder Development Board rev 1.0

Introduction to the Altera SOPC Builder Using Verilog Design

Transcription:

My Second FPGA for Altera DE2-115 Board 數位電路實驗 TA: 吳柏辰 Author: Trumen

Outline DE2-115 System Builder ModelSim-Altera 2

DE2-115 System Builder 3

Introduction to DE2-115 System Builder (1/2) This section describes how users can create a custom design project on the DE2-115 board by using DE2-115 Software Tool DE2-115 System Builder. The DE2-115 System Builder is a Windows based software utility, designed to assist users to create a Quartus II project for the DE2-115 board withim minutes. 4

Introduction to DE2-115 System Builder (2/2) The generated Quartus II projects files include: Quartus II Project File (.qpf) Quartus II Setting File (.qsf) Top-Level Design File (.v) Synopsis Design Constraints file (.sdc) Pin Assignment Document (.htm) 5

General Design Flow Start Launch DE2-115 System Builder Create New DE2-115 System Builder Project Generate Quartus II Project and Document Add User Design/Logic Launch Quartus II and Open Project.qpf.qsf.v.sdc.htm Compile to generate.sof Configure FPGA End 6

Launch DE2-115 System Builder DE2_115_tools\DE2_115_system_builder.exe 7

Input Project Name 1 8

System Configuration 1 9

GPIO Expansion 1 10

HSMC Expansion 1 11

Project Setting Management Users can save the current board configuration information into a.cfg file and load it to the DE2-115 System Builder 1 2 12

Project Generation When users press the Generation buttion, the DE2-115 System Builder will generate the corresponding Quartus II files and documents. No. Filename Description 1 exp2_rsa.v Top level verilog HDL file for Quartus II 2 exp2_rsa.qpf Quartus II Project File 3 exp2_rsa.qsf Quartus II Setting File 4 exp2_rsa.sdc Synopsis Design Constraints file for Quartus II 5 exp2_rsa.htm Pin Assignment Document 13

THDB-HTG Board This figure illustrates how the THDB-HTG board is connected to the DE2-115 board. Be sure to turn off the power whenever you connect or disconnect the THDB-HTG board!! 14

exp2_rsa.htm (1/2) DE2_115_User_manual.pdf 4.8 Usiing the Expansiion Header 15

exp2_rsa.htm (2/2) THDB-HTG_V1.0.3.pdf 1-6 Expansion Prototype Connectors 16

exp2_rsa.sdc Remember to modify the.sdc file. clock, i/o delay, etc. create_clock -period 1000 [get_ports clk] derive_clock_uncertainty set_input_delay 0 -clock clk [all_inputs] set_output_delay 0 -clock clk [all_outputs] 17

Can't place multiple pins? If you try to assign pins by yourself, this error message may occur. How to fix it? 18

1 2 19

1 20

1 2 3 21

1 22

ModelSim-Altera 23

Introduction to ModelSim (1/5) ModelSim is a simulation and verification tool for VHDL, Verilog, SystemVerilog, and mixed language designs. The following diagram shows the basic steps for simulating a design in ModelSim. Create a working library Compile design files Load and Run simulation Debug results 24

Introduction to ModelSim (2/5) Creating the Working Library In ModelSim, all designs are compiled into a library. You typically start a new simulation in ModelSim by creating a working library called "work," which is the default library name used by the compiler as the default destination for compiled design units. 25

Introduction to ModelSim (3/5) Compiling Your Design After creating the working library, you compile your design units into it. The ModelSim library format is compatible across all supported platforms. You can simulate your design on any platform without having to recompile your design. 26

Introduction to ModelSim (4/5) Loading the Simulator with Your Design and Running the Simulation With the design compiled, you load the simulator with your design by invoking the simulator on a top-level module (Verilog) or a configuration or entity/architecture pair (VHDL). 27

Introduction to ModelSim (5/5) Debugging Your Results If you don t get the results you expect, you can use ModelSim s robust debugging environment to track down the cause of the problem. 28

ModelSim-Altera Altera Quartus II software allows the user to launch Modelsim-Altera simulator from within the software using the Quartus II feature called NativeLink. It facilitates the process of simulation by providing an easy to use mechanism and precompiled libraries for simulation. 29

Setting up EDA Tool Options 1 2 30

1 2 3 31

Setting Up the Simulation 1 2 32

2 1 3 4 5 33

1 8 2 3 6 4 5 7 34

1 35

Before Simulation We should compile our design before simulation to generate a simulation snapshot. "Start Analysis & Elaboration" is enough, and it takes much less time than "Start Compilation". And then we can run the simulation. 36

Issues of Working Directory The working directory of ModelSim-Altera is under "(project directory)/simulation/modelsim", so be careful of setting the directory of input data in the testbench. 37

1 2 3 38

1 2 3 4 39

Congratulation! Be sure ModelSim-Altera has found the input data!! 40

If There are Something Wrong Your simulation takes a long time and seems it will not stop. The calculated result is incorrect. 41

If the Input Date are not Found It is a fake "PASS" 42

Change the Time Unit of the Timeline 2 1 3 4 43

Check the Waveform (1/2) 1 2 3 44

Check the Waveform (2/2) Zoom full Zoom Mode How to see the signals in the design? Just try it! 45

The End. Any question?

Reference 1. "DE2-115 User manual" by Terasic. 2. "THDB-HTG User Manual" by Terasic. 3. ModelSim Tutorial by Mentor Graphics Corporation. 4. "Getting Started with Quartus II Simulation Using the ModelSim-Altera Software User Guide" by Altera. 47