Features nc. Supports bus snooping for cache coherency Floating-point unit (FPU) Low-power (less than 2.0 W in HiP4) See the MPC8260 Power Consumption

Similar documents
Features nc. Supports bus snooping for cache coherency Floating-point unit (FPU) Low-power (less than 2.5 W in HiP3, less than 2.0 W in HiP4) See the

Freescale Semiconductor, I

Performance Factors nc. 2 Performance Factors The following sections discuss performance factors. 2.1 MPX vs. 60x Bus Mode One of the main factors tha

Migrating from the MPC852T to the MPC875

1.3 General Parameters

1.1 MPC860T PowerQUICC Key Features

However, if an event comes in when the new value is being written to the pulse accumulator counter, that event could be missed. One solution to this p

2005: 0.5 PQ-MDS-PCIEXP

Differences Between the DSP56301, DSP56311, and DSP56321

Advance Information 24-BIT GENERAL PURPOSE DIGITAL SIGNAL PROCESSOR

Mask Set Errata. Introduction. MCU Device Mask Set Identification. MCU Device Date Codes. MCU Device Part Number Prefixes MSE08AZ32_0J66D 12/2002

PowerQUICC HDLC Support and Example Code

MC68VZ328. MC68VZ328 (DragonBall VZ) Integrated Portable System Processor Product Brief

Freescale Semiconductor, I

SRAM SRAM SRAM. Data Bus EXTAL ESSI KHz MHz. In Headphone CS MHz. Figure 1 DSP56302EVM Functional Block Diagram

Functional Differences Between the DSP56307 and DSP56L307

PQ-MDS-QOC3 Module. HW Getting Started Guide. Contents. About This Document. Required Reading. Definitions, Acronyms, and Abbreviations

MPC8349EA MDS Processor Board

Using the PowerQUICC II Auto-Load Feature

Clock Mode Selection for MSC8122 Mask Set K98M

Figure 1. Power Barrel Connector Requirements

DEMO9S08AC60E. User s Guide. Freescale Semiconductor User s Guide. DEMO9S08AC60EUG Rev. 0.1, 11/2007

DSP5630x FSRAM Module Interfacing

Freescale Semiconductor, I

SRAM SRAM SRAM SCLK khz

MPC8360E MDS Processor Board

MPC823 Mobile Computing Microprocessor

PQ-MDS-PIB. HW Getting Started Guide 12,13. January 2006: Rev Check kit contents

etpu General Function Set (Set 1) David Paterson MCD Applications Engineer

1.1 MPC855T Key Features

EB366. In-Circuit Programming of FLASH Memory Using the Monitor Mode for the MC68HC908GP32. Introduction

MCF5445x Configuration and Boot Options Michael Norman Microcontroller Division

Suite56 Command Converter Server User s Guide

MPC8349E-mITX-GP Board Errata

Freescale Semiconductor, I

MPC8260 IDMA Timing Diagrams

Engineering Bulletin. Introduction and Background. Definition of Early TOF EB389/D 11/2001

MC68VZ328 Integrated Processor

Freescale Semiconductor, I

Mask Set Errata. Introduction. MCU Device Mask Set Identification. MCU Device Date Codes. MCU Device Part Number Prefixes

Upgrade the Solution With No Changes 2 Upgrade the Solution With No Changes If a Codebase does not contain updates to its properties, it is possible t

Freescale Semiconductor, I

MCF5216 Device Errata

PAS08QF80 User s Manual

DatasheetDirect.com. Visit to get your free datasheets. This datasheet has been downloaded by

PAS08QF5264 User s Manual

PCB Layout Guidelines for the MC1321x

Interfacing HCS12 Microcontrollers to the MFR4200 FlexRay Controller

Mechanical Differences Between the 196-pin MAP-BGA and 196-pin PBGA Packages

56F8300 BLDC Motor Control Application

Using IIC to Read ADC Values on MC9S08QG8

Interfacing MPC5xx Microcontrollers to the MFR4310 FlexRay Controller David Paterson MCD Applications, East Kilbride

56F805. BLDC Motor Control Application with Quadrature Encoder using Processor Expert TM Targeting Document. 56F bit Digital Signal Controllers

Freescale Semiconductor, I. How to Write to the 64-Cycle Time-Protected Registers on M68HC11 Development Tools

Pad Configuration and GPIO Driver for MPC5500 Martin Kaspar, EMEAGTM, Roznov Daniel McKenna, MSG Applications, East Kilbride

MC33696MODxxx Kit. 1 Overview. Freescale Semiconductor Quick Start Guide. Document Number: MC33696MODUG Rev. 0, 05/2007

56F805. Digital Power Factor Correction using Processor Expert TM Targeting Document. 56F bit Digital Signal Controllers. freescale.

Freescale Semiconductor, I

EB380. Migrating from the MC68HC811E2 to the MC68HC711E9. Freescale Semiconductor, I. Introduction. Migrating to the MC68HC711E9

MSC8144AMC-S Getting Started Guide

MPC8568E MDS Processor Board

Configuring the MCF5445x Family for PCI Host Operation

Functional Differences Between DSP56302 and DSP56309 (formerly DSP56302A)

DSP56F827 Digital Signal Controller

Freescale Semiconductor, I. How to Use the Table Lookup and Interpolate Instruction on the CPU32

2 Feature Descriptions

MC56F825x/MC56F824x (2M53V) Chip Errata

Freescale Semiconductor, I. Example Using the Queued Serial Peripheral Interface on Modular MCUs

nc. Factors which affect reset are: 1. External components attached to the RESET pin 2. Low-voltage reset (LVR) on chip 3. Power-on reset (POR) detect

Electrode Graphing Tool IIC Driver Errata Microcontroller Division

Multichannel Communication Controller HDLC Superchannel Mode on the MPC8560

USB Bootloader GUI User s Guide

ET100/NRZ. Ethernet WAN Bridge. 10/100Base-TX Ethernet over NRZ

Freescale Semiconductor, I

Errata to the MPC8280 PowerQUICC II Family Reference Manual, Rev. 1

MPC8260 SDRAM Timing Diagrams

Device Errata MPC860ADS Application Development System Board Versions ENG, PILOT, REV A

PowerQUICC II Parity and ECC Capability

Using the Multi-Axis g-select Evaluation Boards

1.1 Differences between the MPC8255 and the MPC8260

Freescale Semiconductor, I

MSC8144 Device Reset Configuration For the MSC8144ADS Board

ColdFire Convert 1.0 Users Manual by: Ernest Holloway

MCF54451, MCF54452, MCF54453, MCF54454,

Freescale Semiconductor, Inc. Product Brief MCF5249PB/D Rev. 0, 3/2002 MCF5249 Integrated ColdFire Microprocessor Product Brief nc... Freescale Semico

Altimus X3B Evaluation Board Errata

SECTION 1 QUICC/POWERQUICC DIFFERENCES

EB180. Motorola Semiconductor Engineering Bulletin. Differences between the MC68HC705B16 and the MC68HC705B16N. Freescale Semiconductor, I

XGATE Library: ATD Average Calculating a rolling average from ATD results

AND8335/D. Design Examples of Module-to-Module Dual Supply Voltage Logic Translators. SIM Cards SDIO Cards Display Modules HDMI 1-Wire Sensor Bus

HC912D60A / HC912Dx128A 0.5µ Microcontrollers Mask sets 2K38K, 1L02H/2L02H/3L02H & K91D, 0L05H/1L05H/2L05H

MPC8260 PowerQUICC II IDMA Functionality Michael Johnston and Debbie Morrison NCSD Applications East Kilbride, Scotland

Using the Project Board LCD Display at 3.3 volts

Symphony SoundBite: Quick Start with Symphony Studio. Installation and Configuration

IMPORTANT DIFFERENCES

MCF548X Family Integrated Microprocessor Product Brief

MPC8569E Instruction RAM Microcode Package Release 169

1 Development History. 2 Typical Applications

MC74F620 MC74F623 OCTAL BUS TRANSCEIVER WITH 3-STATE OUTPUTS (INVERTING AND NONINVERTING)

EchoRemote Evaluation Software for Windows

Transcription:

nc. Advance Information MPC8250TS/D Rev. 0.1, 11/2001 MPC8250 PowerQUICC II Technical Summary The MPC8250 PowerQUICC II is a versatile communications processor that integrates a high-performance G2 microprocessor, a flexible system integration unit, and many communications peripheral controllers that can be used in a variety of applications, particularly in communications and networking systems. The MPC8250 is a Footprint-compatible, lower-cost version of the MPC8260. The core is an embedded variant of the 603e microprocessor, referred to as the G2 core, with 16 Kbytes of instruction cache, 16 Kbytes of data cache, and a floating-point unit (FPU). The system interface unit (SIU) consists of a flexible memory controller that interfaces to almost any user-defined memory system and many other peripherals, making this device a complete system on a chip. The communications processor module (CPM) includes all the peripherals found in the MPC860, with the addition of three high-performance communications channels that support 10/100 Ethernet, Transparent, and HDLC. The MPC8250 has dedicated hardware that can handle up to 128 full-duplex, time-division-multiplexed logical channels. This document describes the functional operation of the MPC8250, with an emphasis on peripheral functions. Additional information about the G2 microprocessor core can be found in the MPC603e RISC Microprocessor User s Manual, REV 3 (order number: MPC603EUM/AD). 1.1 Features The following is an overview of the MPC8250 feature set: G2 dual-issue integer core A core version of the MPC603e microprocessor System core microprocessor supporting frequencies of 150 200 MHz Separate 16-Kbyte data and instruction caches: Four-way set associative Physically addressed LRU replacement algorithm PowerPC architecture-compliant memory management unit (MMU) Common on-chip processor (COP) test interface High-performance (4.4 5.1 SPEC95 benchmark at 200 MHz; 280 Dhrystones MIPS at 200 MHz)

Features nc. Supports bus snooping for cache coherency Floating-point unit (FPU) Low-power (less than 2.0 W in HiP4) See the MPC8260 Power Consumption Calculator on the Smart Networks Web Page for the 8260 Family at www.motorola.com. Separate power supply for internal logic (1.8 V in HiP4) and for I/O (3.3 V) Separate PLLs for G2 core and for the CPM G2 core and CPM can run at different frequencies for power/performance optimization Internal G2 core/bus clock multiplier that provides 1.5:1, 2:1, 2.5:1, 3:1, 3.5:1, 4:1, 5:1, 6:1 ratios Internal CPM/bus clock multiplier that provides 2:1, 2.5:1, 3:1, 3.5:1, 4:1, 5:1, 6:1 ratios 64-bit data and 32-bit address 60x bus Bus supports multiple master designs Supports single- and four-beat burst transfers 64-, 32-, 16-, and 8-bit port sizes controlled by on-chip memory controller Supports data parity or ECC and address parity 32-bit data and 18-bit address local bus Single-master bus, supports external slaves Eight-beat burst transfers 32-, 16-, and 8-bit port sizes controlled by on-chip memory controller System interface unit (SIU) Clock synthesizer Reset controller Real-time clock (RTC) register Periodic interrupt timer Hardware bus monitor and software watchdog timer IEEE 1149.1 JTAG test access port PCI PCI Specification Revision 2.2 compliant and supports frequencies up to 66 MHz Support for PCI to G2 memory and G2 memory to PCI streaming PCI Host Bridge or Peripheral capabilities Includes 4 DMA channels for PCI/G2 to G2/PCI transfers Includes all of the configuration registers required by the PCI standard as well as message and doorbell registers Supports the I 2 O standard A hot-swap friendly device (supports the Hot Swap Specification as defined by PICMG 2.1 R1.0 August 3, 1998) Support for 66 MHz, 3.3 V specification 60x-PCI bus core logic which uses a buffer pool to allocate buffers for each port. The PCI bridge makes use of the local bus signals, so there is no need for additional pins. Twelve-bank memory controller 2 MOTOROLA

nc. Features Glueless interface to SRAM, page mode SDRAM, DRAM, EPROM, Flash and other userdefinable peripherals Byte write enables and selectable parity generation 32-bit address decodes with programmable bank size Three user programmable machines, general-purpose chip-select machine, and page-mode pipeline SDRAM machine Byte selects for 64 bus width (60x) and byte selects for 32 bus width (local) Dedicated interface logic for SDRAM Disable CPU mode Communications processor module (CPM) Embedded 32-bit communications processor (CP) uses a RISC architecture for flexible support for communications peripherals Interfaces to G2 core through on-chip 24-Kbyte dual-port RAM and DMA controller Serial DMA channels for receive and transmit on all serial channels Parallel I/O registers with open-drain and interrupt capability Virtual DMA functionality executing memory-to-memory and memory-to-i/o transfers Three fast communications controllers supporting the following protocols: 10/100-Mbit Ethernet/IEEE 802.3 CDMA/CS interface through media independent interface (MII) Transparent HDLC Up to T3 rates (clear channel) A multichannel controller (MCC2) The MCC handles 128 serial, full-duplex, 64-Kbps data channels. The MCC can be split into four subgroups of 32 channels each. Almost any combination of subgroups can be multiplexed to single or multiple TDM interfaces Four serial communications controllers (SCCs) identical to those on the MPC860, supporting the digital portions of the following protocols: Ethernet/IEEE 802.3 CDMA/CS HDLC/SDLC and HDLC bus Universal asynchronous receiver transmitter (UART) Synchronous UART Binary synchronous (BISYNC) communications Transparent Two serial management controllers (SMCs), identical to those of the MPC860 Provide management for BRI devices as general circuit interface (GCI) controllers in timedivision-multiplexed (TDM) channels Transparent UART (low-speed operation) One serial peripheral interface identical to the MPC860 SPI One I 2 C controller (identical to the MPC860 I 2 C controller) Microwire compatible MOTOROLA 3

MPC8250 Architecture Overview nc. Multiple-master, single-master, and slave modes Up to four TDM interfaces Supports one group of four TDM channels 2,048 bytes of SI RAM Bit or byte resolution Independent transmit and receive routing, frame synchronization Supports T1, CEPT, T1/E1, T3/E3, pulse code modulation highway, ISDN basic rate, ISDN primary rate, Motorola interchip digital link (IDL), general circuit interface (GCI), and user-defined TDM serial interfaces Eight independent baud rate generators and 20 input clock pins for supplying clocks to FCCs, SCCs, SMCs, and serial channels Four independent 16-bit timers that can be interconnected as two 32-bit timers 1.2 MPC8250 Architecture Overview As shown in Figure 1, the MPC8250 has three major functional blocks: A 64-bit G2 core derived from the MPC603e with MMUs and cache A system interface unit (SIU) A communications processor module (CPM) 4 MOTOROLA

nc. MPC8250 Architecture Overview 16-Kbyte Instruction Cache G2 Core IMMU 16-Kbyte Data Cache DMMU Bus Interface Unit 60x-to-PCI Bus Bridge 60x-to-Local Bus Bridge Memory Controller PCI/ Local Bus Timers Parallel I/O Baud Rate Generator Interrupt Controller Figure 1. MPC8250 Block Diagram The MPC8250 has two external buses to accommodate bandwidth requirements from the high-speed system core and the very fast communications channels. Both the system core and the CPM have an internal PLL, which allows independent optimization of the frequencies at which they run. The system core and CPM are both connected to the 60x bus. 1.2.1 The G2 Core 32-Kbyte Dual Port RAM 32-Bit RISC Communications Processor (CP) and Program ROM Serial DMAs 4 Virtual IDMAs Clock Counter System Functions MCC2 FCC1 FCC2 FCC3 SCC1 SCC2 SCC3 SCC4 SMC1 SMC2 SPI I 2 C Time Slot Assigner 4 TDMs Serial Interface 3 MIIs Non-Multiplexed I/O The G2 core is derived from the 603e microprocessor without the floating-point unit and with power management modifications. The core is a high-performance low-power implementation of the 60x family of reduced instruction set computer (RISC) microprocessors. The G2 core implements the 32-bit portion of the PowerPC architecture, which provides 32-bit effective addresses and integer data types of 8, 16, and 32 bits. The G2 cache provides snooping to ensure data coherency with other masters. This helps ensure coherency between the CPM and system core. The core includes 16 Kbytes of instruction cache and 16 Kbytes of data cache. It has a 64-bit split-transaction external data bus which is connected directly to the external MPC8250 pins. The G2 core has an internal common on-chip (COP) debug processor. This processor allows access to internal scan chains for debugging purposes. It is also used as a serial connection to the core for emulator support. MOTOROLA 5

MPC8250 Architecture Overview nc. The G2 core performance for the SPEC95 benchmark for integer operations ranges between 4.4 and 5.1 at 200 MHz. In Dhrystone 2.1 MIPS, the MPC603e is 280 MIPS at 200 MHz (compared to the MPC860 s 86 MIPS at 66 MHz). The G2 core can be disabled. In this mode, the MPC8250 functions as a slave peripheral to an external core or to another MPC8250 device with its core enabled. 1.2.2 System Interface Unit (SIU) The SIU consists of the following: A 60x-compatible parallel system bus configurable to 64-bit data width supports 64-, 32-, 16-, and 8-bit port sizes. The MPC8250 internal arbiter arbitrates between internal components that can access the bus (system core, PCI bridge,cpm, and one external master). This arbiter can be disabled, and an external arbiter can be used if necessary. A local bus (32-bit data, 32-bit internal, and 18-bit external address) This bus is used to enhance the operation of the very high-speed communications controllers. Without requiring extensive manipulation by the core, the bus can be used to store buffer descriptors (BDs) for the communications channels or raw data that is transmitted between channels. The local bus is synchronous to the 60x bus and runs at the same frequency. A memory controller that supports 12 memory banks which can be allocated for either the system or the local bus. It supports all MPC860 features as well as SDRAM with page mode and address data pipeline. It also supports three user-programmable machines. A JTAG controller IEEE 1149.1 test access port (TAP) A bus monitor that prevents 60x bus lock-ups, a real-time clock, a periodic interrupt timer, and other system functions useful in embedded applications Glueless interface to L2 cache (MPC2605) and 4-/16-K-entry CAM (MCM69C232/MCM69C432) PCI Specification Revision 2.2 compliant bus The local bus can be configured as a 32-bit data and up to 66 MHz PCI (version 2.2) bus. In PCI mode the bus can be programmed as a host or as an agent. The PCI bus can be configured to run synchronously or asynchronously to the 60x bus. The MPC8250 has an internal PCI bridge with an efficient 60x-to-PCI DMA for memory block transfers. Applications that require both the local bus and PCI bus need to connect an external PCI bridge. 1.2.3 Communications Processor Module (CPM) The CPM contains features that allow the MPC8250 to excel in a variety of applications targeted mainly for networking and telecommunication markets. The MPC8250 CPM is a superset of the MPC860 PowerQUICC CPM, with enhanced CP performance. The CPM also has additional hardware and microcode routines that support high bit rate protocols like Fast Ethernet (100-Mbps full-duplex). The following list summarizes the major features of the CPM: The communications processor (CP) is an embedded 32-bit RISC controller residing on a separate bus (CPM local bus) from the 60x bus (used by the system core). With this separate bus, the CP does not affect the performance of the G2 core. The CP handles the lower layer tasks and DMA 6 MOTOROLA

nc. Compatibility Issues control activities, leaving the G2 core free to handle higher layer activities. The CP has an instruction set optimized for communications, but can also be used for general-purpose applications, relieving the system core of small often repeated tasks. Two serial DMAs (SDMAs) that can do simultaneous transfers, optimized for burst transfers to the 60x bus and to the local bus Three full-duplex, serial fast communications controllers (FCCs) supporting IEEE 802.3 and Fast Ethernet protocols, HDLC up to E3 rates (45 Mbps) and totally transparent operation. Each FCC can be configured to transmit fully transparent and receive HDLC or vice-versa. One multichannel controller (MCC2) that can handle an aggregate of 128 x 64 Kbps HDLC or transparent channels, multiplexed on up to four TDM interfaces. The MCC also supports super-channels of rates higher than 64 Kbps and subchanneling of the 64-Kbps channels. Four full-duplex serial communications controllers (SCCs) supporting IEEE 802.3/Ethernet, highlevel synchronous data link control, HDLC, local talk, UART, synchronous UART, BISYNC, and transparent. Two full-duplex serial management controllers (SMC) supporting GCI, UART, and transparent operations Serial peripheral interface (SPI) and I 2 C bus controllers Time-slot assigner (TSA) that supports multiplexing of data from any of the four SCCs, three FCCs, and two SMCs 1.3 Compatibility Issues 1.3.1 Software The MPC8250 CPM features are similar to previous devices, such as the MPC860. The code flow ports easily from previous devices to the MPC8250, except for new protocols. Although many registers are new, it is helpful to understand the programming models of the MC68360, MPC860, or MPC850 since most registers retain the old status and event bits. Note that the MPC8250 initialization code requires changes from the MPC860 initialization code (Motorola will provide with reference code). 1.3.2 MPC8260 Hardware The MPC8250 is a Footprint-compatible, drop-in replacement for the MPC8260 and is ideally suited for applications which do not require the additional performance provided by the MPC8260 s higher core, CPM and bus frequencies. The MPC8250 runs at the maximum frequencies of 200 MHz on the core, 133 MHz on the CPM, and 66 MHz for the bus. Also, the MPC8250 has one MCC, whereas the MPC8260 has two MCCs. The MPC8250 has a core voltage of 1.8 V. See the MPC8250 hardware specifications for the electrical requirements and the AC and DC characteristics. 1.4 Differences between MPC860 and MPC8250 The following MPC860 features are not included in the MPC8250: MOTOROLA 7

Serial Protocol Table nc. On-chip crystal oscillators (must use external oscillator) 4-MHz oscillator (input clock must be at the bus speed) Low power (stand-by) modes Battery-backup real-time clock (must use external battery-backup clock) BDM (COP offers most of the same functionality) True little-endian mode (except the PCI bus) PCMCIA interface Infrared (IR) port QMC protocol in SCC (128 HDLC channels are supported by the MCC) Multiply and accumulate (MAC) block in the CPM Centronics port (PIP) Asynchronous HDLC protocol (optional RAM microcode) Pulse-width modulated outputs SCC Ethernet controller option to sample 1 byte from the parallel port when a receive frame is complete Parallel CAM interface for SCC (Ethernet) 1.5 Serial Protocol Table Table 1 summarizes available protocols for each serial port. Table 1. MPC8250 Serial Protocols Port 100BaseT 10BaseT Port FCC SCC MCC SMC HDLC HDLC_BUS Transparent UART DPLL 1.6 MPC8250 Configurations The MPC8250 offers flexibility in configuring the device for specific applications. The functions mentioned in the above sections are all available in the device, but not all of them can be used at the same time. This does not imply that the device is not fully activated in any given implementation. The CPM architecture has the advantage of using common hardware resources for many different protocols, and applications. Two physical factors limit the functionality in any given system pinout and performance. 8 MOTOROLA

1.6.1 Pin Configurations nc. MPC8250 Application Examples To keep the number of device pins manageable, some pins have multiple functions. In some cases, choosing a function may preclude the use of another function. 1.6.2 Serial Performance The CPM is designed to handle an aggregate of 710 Mbps on the communications channels at a 133-MHz CPM clock and 66-MHz 60x bus clock. Performance depends on a number of factors: Serial rate versus CPM clock frequency for adequate sampling on serial channels Serial rate and protocol versus CPM clock frequency for CP protocol handling Serial rate and protocol versus bus bandwidth Serial rate and protocol versus system core clock for adequate protocol handling The second item above is addressed in this section the CP s ability to handle high bit-rate protocols in parallel. Slow bit-rate protocols do not significantly affect those numbers. Table 2 describes a few options to configure the fast communications channels on the MPC8250. The frequency specified is the minimum CPM frequency necessary to run the mentioned protocols concurrently at full-duplex. Table 2. MPC8250 Serial Performance FCC 1 FCC 2 FCC 3 MCC CPM Clock Clock 100 BaseT 100 BaseT 100 BaseT 133 MHz 66 MHz 100 BaseT 100 BaseT 128 * 64 Kbps channels 133 MHz 66 MHz 100 BaseT 45-Mbps 128 * 64 Kbps channels 133 MHz 66 MHz 45-Mbps HDLC 45-Mbps 128 * 64 Kbps channels 133 MHz 66 MHz 45-Mbps HDLC 45-Mbps 100 BaseT 128 * 64 Kbps channels 133MHz 66 MHz 100 BaseT 45-Mbps 100 BaseT 8 * 576 Kbps channels 133 MHz 66 MHz 100 BaseT 100 BaseT 100 BaseT 128 * 64 Kbps channels 133 MHz 66 MHz FCCs can also be used to run slower HDLC or 10 BaseT, for example. The CP s RISC architecture has the advantage of using common hardware resources for all FCCs. 1.7 MPC8250 Application Examples The following section provides block diagrams of different MPC8250 applications. The MPC8250 is a very flexible device and can be configured to meet many system application needs. In order to build a system, many factors should be taken into consideration. MOTOROLA 9

MPC8250 Application Examples nc. 1.7.1 Examples of Communications Systems Following are some examples of communications systems: Remote access server Regional office router LAN-to-WAN bridge router Cellular base station Telecom switch controller SONET transmission controller 10 MOTOROLA

1.7.1.1 Remote Access Server SeeFigure 2 for a remote access server configuration. nc. MPC8250 Application Examples Quad TDM0 MPC8250 SDRAM/DRAM/SRAM T1 Framer TDM4 Channelized Data (up to 128 channels) SDRAM/DRAM/SRAM MII Transceiver Framer Slow Comm PHY or 10/100BaseT E3 clear channel (takes one TDM) SMC/I 2 C/SPI/SCC Local Bus DSP Bank Figure 2. Remote Access Server Configuration Slaves on Local Bus In this application, four TDM ports are connected to external framers. In the MPC8250, the four ports support up to 128 channels. One TDM interface can support 32 128 channels. The MPC8250 receives and transmits data in transparent or HDLC mode, and stores or retrieves the channelized data from memory. The data can be stored either in memory residing on the 60x bus or in memory residing on the local bus. The main trunk can be configured as one of the following: a 10/100BaseT Fast Ethernet with MII interface a high-speed serial channel (up to 45 Mbps). The local bus can be used as an interface to a bank of DSPs that can run code that performs analog modem signal modulation. Data to and from the DSPs can be transferred through the parallel bus with the internal virtual IDMA. The MPC8250 memory controller supports many types of memories, including page-mode, pipeline SDRAM and EDO DRAM for efficient burst transfers. Data MOTOROLA 11

MPC8250 Application Examples 1.7.1.2 Regional Office Router Figure 3 shows a regional office router configuration. nc. MPC8250 Quad T1 Framer TDM0 TDM3 SDRAM/DRAM/SRAM MII Transceiver 10/100BaseT 10/100BaseT Channelized Data (up to 128 channels) Slow Comm PHY SMC/I 2 C/SPI/SCC Figure 3. Regional Office Router Configuration In this application, the MPC8250 is connected to four TDM interfaces with up to 128 channels. Each TDM port supports 32 128 channels. If 128 channels are needed, each TDM port can be configured to support 32 channels. In this application there are two MII ports for 10/100BaseT LAN connections. In all the examples, the SCC ports can be used for management. 12 MOTOROLA

nc. 1.7.1.3 LAN-to-WAN Bridge Router MPC8250 Application Examples Figure 4 shows a LAN-to-WAN router configuration, which is similar to the previous example. MPC8250 MII Transceiver 10/100BaseT SDRAM/DRAM/SRAM Data MII Transceiver Slow Comm PHY 10/100BaseT SMC/I 2 C/SPI/SCC Local Bus Figure 4. LAN-to-WAN Bridge Router Configuration SDRAM/DRAM/SRAM Data MOTOROLA 13

MPC8250 Application Examples 1.7.1.4 Cellular Base Station Figure 5 shows a cellular base station configuration. nc. MPC8250 SDRAM/DRAM/SRAM Framer TDM0 Channelized Data (up to 128 channels) TDM1 DSP Bank Slow Comm PHY SMC/I 2 C/SPI/SCC Local Bus Figure 5. Cellular Base Station Configuration Here the MPC8250 channelizes two E1s (up to 128, 16-Kbps channels). The local bus can control a bank of DSPs. Data to and from the DSPs can be transferred through the parallel bus to the host port of the DSPs with the internal virtual IDMA.The slow communications ports (SCCs, SMCs, I 2 C, SPI) can be used for management and debug functions. 1.7.1.5 Telecommunications Switch Controller Figure 6 shows a telecommunications switch controller configuration. MII Transceiver MPC8250 10/100BaseT 10/100BaseT Slaves on Local Bus SDRAM/DRAM/SRAM SDRAM/DRAM/SRAM Slow Comm PHY SMC/I 2 C/SPI/SCC (10BaseT) Local Bus Figure 6. Telecommunications Switch Controller Configuration 14 MOTOROLA

nc. MPC8250 Application Examples The MPC8250 CPM supports a total aggregate throughput of 710 Mbps at 133 MHz. This includes two full-duplex 100BaseT. The G2 core can operate at a higher speed, if the application requires it. 1.7.1.6 SONET Transmission Controller Figure 7 shows a SONET transmission controller configuration. MPC8250 576 Kbps SONET Transceivers TDM0 TDM3 SDRAM/DRAM/SRAM MII Transceiver Slow Comm PHY Figure 7. SONET Transmission Controller Configuration In this application, the MPC8250 implements super channeling with the multichannel controller (MCC). Nine 64-Kbps channels are aggregated to form a 576-Kbps channel. The MPC8250 at 133-MHz can support up to eight 576-Kbps superchannels. The MPC8250 also supports subchanneling (under 64 Kbps) with its MCC. 1.7.2 Bus Configurations There are threesix possible bus configurations: Basic system High-performance communications High-performance system core PCI The MPC8250 as PCI agent The MPC8250 slave as PCI agent 10/100BaseT SMC/I 2 C/SPI/SCC (10BaseT) Local Bus Channelized Data (up to 8 channels) SDRAM/DRAM/SRAM Data MOTOROLA 15

MPC8250 Application Examples 1.7.2.1 Basic System nc. In the basic system configuration, shown in Figure 8, the MPC8250 core is enabled and uses the 64-bit 60x data bus. The local bus may also be used to store data that does not need to be heavily processed by the core. The CP can store large data frames in the local memory without interfering with the operation of the system core. MPC8250 SDRAM/SRAM/DRAM/Flash PHY Communications Channels Local Bus Figure 8. Basic System Configuration SDRAM/SRAM/DRAM 16 MOTOROLA

nc. 1.7.2.2 High-Performance Communications Figure 9 shows a high-performance communications configuration. MPC8250 Application Examples MPC8260 SDRAM/SRAM/DRAM PHY Communications Channels Local Bus SDRAM/SRAM/DRAM/Flash PHY MPC8250 (master/slave) Communications Channels Local Bus Figure 9. High-Performance Communications SDRAM/SRAM/DRAM This system enhances the serial throughput by connecting one MPC8250 in master or slave mode (with system core enabled or disabled) to a MPC8260in master mode (core enabled). The core in MPC8260 can access the memory on the local bus of MPC8250 or PCI MOTOROLA 17

MPC8250 Application Examples nc. 1.7.2.3 High-Performance System Microprocessor Figure 10 shows a configuration with a high-performance system microprocessor (MPC755). MPC755 32-Kbyte I cache 32-Kbyte D cache Backside Cache PHY MPC8250 (slave) Communications Channels Local Bus SDRAM/SRAM/DRAM Figure 10. High-Performance System Microprocessor Configuration SDRAM/SRAM/DRAM In this system, the G2 core is disabled and an external high-performance microprocessor is connected to the 60x bus. 18 MOTOROLA

nc. MPC8250 Application Examples 1.7.2.4 PCI MPC8250 SDRAM/SRAM/DRAM/Flash PHY Communications Channels Host or Agent PCI Bus Figure 11. PCI Configuration In this system, the local bus is configured as PCI (66-MHz 32-bit data bus version 2.2). The MPC8250 can be configured as a host or as an agent on the PCI bus. The 60x bus and PCI bus are asynchronous; there is no frequency dependency between the two. The PCI bus is a 3.3-V bus. MOTOROLA 19

MPC8250 Application Examples 1.7.2.5 MPC8250 as PCI Agent nc. Figure 12 shows the configuration when the MPC8250 acts as the PCI agent. MPC8250 SDRAM/SRAM/DRAM PHY Communications Channels Communications Data Agent PCI Bus Figure 12. MPC8250 as PCI Agent In this system, the MPC8260 is a PCI agent on an I/O card and the PCI host resides on the PCI bus. An external PCI bridge is used to connect the host to the PCI bus. The internal PCI bridge in the MPC8250 is used to bridge between the PCI bus and the 60x bus on the MPC8250. Revision Number (Rev.) 0 Table 3. Document Revision History 0.1 Addtitional PCI Material Host PCI Bridge Changes Host Processor System Bus Memory 20 MOTOROLA

nc.

nc.

nc. MPC8250 Application Examples MOTOROLA 23

nc. HOW TO REACH US: USA/EUROPE/LOCATIONS NOT LISTED: Motorola Literature Distribution; P.O. Box 5405, Denver, Colorado 80217 1-303-675-2140 or 1-800-441-2447 JAPAN: Motorola Japan Ltd.; SPS, Technical Information Center, 3-20-1, Minami-Azabu Minato-ku, Tokyo 106-8573 Japan 81-3-3440-3569 ASIA/PACIFIC: Motorola Semiconductors H.K. Ltd.; Silicon Harbour Centre, 2 Dai King Street, Tai Po Industrial Estate, Tai Po, N.T., Hong Kong 852-26668334 TECHNICAL INFORMATION CENTER: 1-800-521-6274 HOME PAGE: http://www.motorola.com/semiconductors DOCUMENT COMMENTS: FAX (512) 933-2625, Attn: RISC Applications Engineering Information in this document is provided solely to enable system and software implementers to use Motorola products. There are no express or implied copyright licenses granted hereunder to design or fabricate any integrated circuits or integrated circuits based on the information in this document. Motorola reserves the right to make changes without further notice to any products herein. Motorola makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does Motorola assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. Typical parameters which may be provided in Motorola data sheets and/or specifications can and do vary in different applications and actual performance may vary over time. All operating parameters, including Typicals must be validated for each customer application by customer s technical experts. Motorola does not convey any license under its patent rights nor the rights of others. Motorola products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the Motorola product could create a situation where personal injury or death may occur. Should Buyer purchase or use Motorola products for any such unintended or unauthorized application, Buyer shall indemnify and hold Motorola and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that Motorola was negligent regarding the design or manufacture of the part. Motorola and the Stylized M Logo are registered in the U.S. Patent and Trademark Office. digital dna is a trademark of Motorola, Inc. All other product or service names are the property of their respective owners. Motorola, Inc. is an Equal Opportunity/Affirmative Action Employer. Motorola, Inc. 2001 MPC8250TS/D