ISSN Vol.03, Issue.02, March-2015, Pages:

Similar documents
DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER

Design and Verification of Asynchronous Five Port Router for Network on Chip

Design and Verification of Network Router

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

Design of Synchronous NoC Router for System-on-Chip Communication and Implement in FPGA using VHDL

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

Design and Verification of Five Port Router Network

Design and implementation of deadlock free NoC Router Architecture

ISSN Vol.03, Issue.08, October-2015, Pages:

ISSN Vol.05, Issue.12, December-2017, Pages:

Design and Simulation of Router Using WWF Arbiter and Crossbar

Design and Analysis of On-Chip Router for Network On Chip

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3

FPGA Prototyping and Parameterised based Resource Evaluation of Network on Chip Architecture

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism

Deadlock-free XY-YX router for on-chip interconnection network

Design & Implementation of AHB Interface for SOC Application

ECE 551 System on Chip Design

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

Integrated Modeling and Generation of a Reconfigurable Network-On-Chip

Design of Reconfigurable Router for NOC Applications Using Buffer Resizing Techniques

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC

High Performance Interconnect and NoC Router Design

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

Implementation of PNoC and Fault Detection on FPGA

Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari

Lecture 18: Communication Models and Architectures: Interconnection Networks

PERFORMANCE ANALYSES OF SPECULATIVE VIRTUAL CHANNEL ROUTER FOR NETWORK-ON-CHIP

Networks-on-Chip Router: Configuration and Implementation

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

Novel Design of Dual Core RISC Architecture Implementation

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

ISSN Vol.03,Issue.06, August-2015, Pages:

ISSN Vol.03,Issue.29 October-2014, Pages:

Design of a router for network-on-chip. Jun Ho Bahn,* Seung Eun Lee and Nader Bagherzadeh

A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER. A Thesis SUNGHO PARK

AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP

AMBA AHB Bus Protocol Checker

Architecture of An AHB Compliant SDRAM Memory Controller

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus

Design and Implementation of High Performance DDR3 SDRAM controller

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

iimplementation of AMBA AHB protocol for high capacity memory management using VHDL

THE INTERNATIONAL JOURNAL OF SCIENCE & TECHNOLEDGE

Design of AMBA Based AHB2APB Bridge

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

Integrated modelling and generation of a reconfigurable network-on-chip. Doris Ching* and Patrick Schaumont. Ingrid Verbauwhede

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Simplifying Microblaze to Hermes NoC Communication through Generic Wrapper

STUDY, DESIGN AND SIMULATION OF FPGA BASED USB 2.0 DEVICE CONTROLLER

Low Cost Network on Chip Router Design for Torus Topology

2. A NEW ROBUST ROUTER ARCHITECTURE. operations. A generic architecture of an IP router is given the basic architecture of a typical router:

A Synthesizable RTL Design of Asynchronous FIFO Interfaced with SRAM

A Novel Energy Efficient Source Routing for Mesh NoCs

Design of network adapter compatible OCP for high-throughput NOC

OASIS NoC Architecture Design in Verilog HDL Technical Report: TR OASIS

Synthesis of Sliding Window Protocol with Piggybacking

FPGA Implementation Of SPI To I2C Bridge

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp

The Design and Implementation of a Low-Latency On-Chip Network

An Efficient Design of Serial Communication Module UART Using Verilog HDL

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

ISSN Vol.02, Issue.11, December-2014, Pages:

Study of Network on Chip resources allocation for QoS Management

Fibre Channel Arbitrated Loop v2.3

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Novel Architecture for Designing Asynchronous First in First out (FIFO)

Performance Analysis of Routing Algorithms

IMPLEMENTATION OF HARDWARE IP ROUTER BASED ON VLSI

Design of a System-on-Chip Switched Network and its Design Support Λ

RASoC: A Router Soft-Core for Networks-on-Chip

Evaluation of NOC Using Tightly Coupled Router Architecture

Performance Evolution of DDR3 SDRAM Controller for Communication Networks

Index Terms- Field Programmable Gate Array, Content Addressable memory, Intrusion Detection system.

Ultra-Fast NoC Emulation on a Single FPGA

Design and Implementation of High-Performance Master/Slave Memory Controller with Microcontroller Bus Architecture

Design And Verification of 10X10 Router For NOC Applications

ISSN:

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

AC : INTRODUCING LABORATORIES WITH SOFT PROCES- SOR CORES USING FPGAS INTO THE COMPUTER ENGINEERING CURRICULUM

GENERATION OF GRAPH FOR ETHERNET VERIFICATION USING TREK M.Vinodhini* 1, P.D. Rathika 2, J.U.Nambi 2, V.Kanmani 1

2. BLOCK DIAGRAM Figure 1 shows the block diagram of an Asynchronous FIFO and the signals associated with it.

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect

High-Level Simulations of On-Chip Networks

Universal Asynchronous Receiver/Transmitter Core

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology

ISSN Vol.05,Issue.09, September-2017, Pages:

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction.

Designing and Implementation of a Network on Chip Router Based on Handshaking Communication Mechanism

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2

OASIS Network-on-Chip Prototyping on FPGA

Design of Router Architecture Based on Wormhole Switching Mode for NoC

Transcription:

ISSN 2322-0929 Vol.03, Issue.02, March-2015, Pages:0122-0126 www.ijvdcs.org Design and Simulation Five Port Router using Verilog HDL CH.KARTHIK 1, R.S.UMA SUSEELA 2 1 PG Scholar, Dept of VLSI, Gokaraju Rangaraju Institute of Engineering & Technology, AP, India. 2 Assistant Professor, Dept of VLSI, Gokaraju Rangaraju Institute of Engineering & Technology, AP, India. Abstract: Multiprocessor system on chip is emerging as a new trend for System on chip design but the wire and power design constraints are forcing adoption of new design methodologies. Researchers pursued a scalable solution to this problem i.e. Network on Chip (NOC). Network on chip architecture better supports the integration of SOC consists of on chip packet switched network. Thus the idea is borrowed from large scale multiprocessors and wide area network domain and envisions on chip routers based network. Cores access the network by means of proper interfaces and have their packets forwarded to destination through multichip routing path. In order to implement a competitive NOC architecture, the router should be efficiently design as it is the central component of NOC architecture.design and simulation of 5 Port Router was designed and its simulation was done with ModelSim6.5e and synthesis using Xilinx ISE. Keywords: 5-Port Route, Network on Chip (NOC), Xilinx ISE. I. INTRODUCTION The challenge of the verifying a large design is growing exponentially. There is a need to define new methods that makes functional verification easy. Several strategies in the recent years have been proposed to achieve good functional verification with less effort. Recent advancement towards this goal is methodologies. The methodology defines a skeleton over which one can add flesh and skin to their requirements to achieve functional verification. OVM (open verification methodology) is one such efficient methodology and best thing about it is, it is free. This ovm is built on system Verilog and used effectively to achieve maintainability, reusability, speed of verification etc. This project is aimed at building a reusable test bench for verifying 8 Port Router Protocol Bridge by using system Verilog and ovm. In this document the use of vmm and system Verilog to verify a design and to develop a reusable test bench is explained in step by step as defined by verification principles and methodology. The test bench contains different components and each component is again composed of subcomponents, these components and subcomponents can be reused for the future projects as long as the interface is same. II. ROUTER System on chip is a complex interconnection of various functional elements. It creates communication bottleneck in the gigabit communication due to its bus based architecture. Thus there was need of system that explicit modularity and parallelism, network on chip possess many such attractive properties and solve the problem of communication bottleneck. It basically works on the idea of interconnection of cores using on chip network. The communication on network on chip is carried out by means of router, so for implementing better NOC, the router should be efficiently design. This router supports four parallel connections at the same time. It uses store and forward type of flow control and Fsm Controller deterministic routing which improves the performance of router. The switching mechanism used here is packet switching which is generally used on network on chip. In packet switching the data the data transfers in the form of packets between cooperating routers and independent routing decision is taken. The store and forward flow mechanism is best because it does not reserve channels and thus does not lead to idle physical channels. The arbiter is of rotating priority scheme so that every channel once get chance to transfer its data. In this router both input and output buffering is used so that congestion can be avoided at both sides. A router is a device that forwards data packets across computer networks. Routers perform the data "traffic direction" functions on the Internet. A router is a microprocessor-controlled device that is connected to two or more data lines from different networks. When a data packet comes in on one of the lines. The router reads the address information in the packet to determine its ultimate destination. Then, using information in its routing table, it directs the packet to the next network on its journey. The router is a Five Port Network Router has a one input port from which the packet enters. It has seven output ports where the packet is driven out. Packet contains 3 parts. They are Header, data and frame check sequence. Packet width is 8 bits and the length of the packet can be between 1 bytes to 64 bytes. Packet header contains three fields DAand length. Destination address(da) of the packet is of 8 bits. The Copyright @ 2015 IJVDCS. All rights reserved.

switch drives the packet to respective ports based on this destination address of the packets. Each output port has 8-bit unique port address. If the destination address of the packet matches the port address, then switch drives the packet to the output port, Length of the data is of 8 bits and from 0 to 63. Length is measured in terms of bytes. Data should be in terms of bytes and can take anything. Frame check sequence contains the security check of the packet. It is calculated over the header and data. CH.KARTHIK, R.S.UMA SUSEELA A. Data Packet Format Packet contains 3 parts. They are Header, payload and parity. Packet width is 8 bits and the length of the packet can be between 1 bytes to 63 bytes as shown in Fig.2. Router is a packet based protocol. Router drives the incoming packet which comes from the input port to output ports based on the address contained in the packet. The router has a one input port from which the packet enters. It has three output ports where the packet is driven out. The router has an active low synchronous input resetn which resets the router. Data packet moves in to the input channel of one port of router by which it is forwarded to the output channel of other port. Each input channel and output channel has its own decoding logic which increases the performance of the router. Buffers are present at all ports to store the data temporarily. The buffering method used here is store and forward. Control logic is present to make arbitration decisions. Thus communication is established between input and output ports. According to the destination path of data packet, control bit lines of FSM are set. The movement of data from source to destination is called switching mechanism The packet switching mechanism is used here, in which the flit size is 8 bits.thus the packet size varies from 0 bits to 8 bits. A detailed explanation of Design is as bellow Fig.1. Fig.2. Data Packet Format. B. Router Input Protocol Fig.3. Router Input Protocol. Fig.1. Block Diagram of Five Port Router. All input signals are active high and are synchronized to the falling edge of the clock as shown in Fig.3. This is because the router is sensitive to the rising edge of clock. Therefore, driving input signals on the falling edge ensures adequate setup and hold time, but the signals can also be driven on the rising edge of the clock. The packet_valid signal has to be asserted on the same clock as when the first byte of a packet (the header byte), is driven onto the data bus. Since the header byte contains the address, this tells the router to which output channel the packet should be routed (data_out_0, data_out_1, or data_out_2). Each subsequent byte of data should be driven on the data bus with each new rising/falling clock.

After the last payload byte has been driven, on the next rising/falling clock, the packet_valid signal must be deserted, and the packet parity byte should be driven. This signals packet completion. The input data bus value cannot change while the suspend_data signal is active (indicating a FIFO overflow). The packet driver should not send any more bytes and should hold the value on the data bus. The width of suspend_data signal assertion should not exceed 100 cycles. The err signal asserts when a packet with bad parity is detected in the router, within 1 to 10 cycles of packet completion. Design and Simulation Five Port Router using Verilog HDL As long as the read_enb_x (read_enb_0, read_enb_1 or read_enb_2) signal remains active, the data_out_x (data_out_0, data_out_1 or data_out_2) bus drives a valid packet byte on each rising clock edge. The packet receiver cannot request the router to suspend data transmission in the middle of the packet. Therefore, the packet receiver must assert the read_enb_x (read_enb_0, read_enb_1 or read_enb_2) signal only after it ensures that there is adequate space to hold the entire packet. The read_enb_x (read_enb_0, read_enb_1 or read_enb_2) must be asserted within 30 clock cycles of the vld_out_x (vld_out_0, vld_out_1 or vld_out_2) being asserted. Otherwise, there is too much congestion in the packet receiver. The DUV data_out_x (data_out_0, data_out_1 or data_out_2) bus must not be tri-stated (high Z) when the DUV signal vld_out_x (vld_out_0, vld_out_1or vld_out_2) is asserted (high) and the input signal read_enb_x (read_enb_0, read_enb_1 or read_enb_2) is also asserted high. Fig.4. Router output Protocol. The characteristics of the output protocol are as follows: All output signals are active high and can be synchronized to the rising/falling edge of the clock. Thus, the packet receiver will drive sample data at the rising/falling edge of the clock. The router will drive and sample data at the rising edge of clock as shown in Fig.4. Each output port data_out_x (data_out_0, data_out_1, data_out_2) is internally buffered by a FIFO of 1 byte width and 16 location depth. The router asserts the vld_out_x (vlld_out_0, vld_out_1 or vld_out_2) signal when valid data appears on the vld_out_x (data_out_0, data_out_1 or data_out_2) output bus. This is a signal to the packet receiver that valid data is available on a particular router. The packet receiver will then wait until it has enough space to hold the bytes of the packet and then respond with the assertion of the read_enb_x (read_enb_0, read_enb1 or read_enb_2) signal that is an input to the router. The read_enb_x (read_enb0, read_enb_1 or read_enb_2) input signal can be asserted on the rising/falling clock edge in which data are read from the data_out_x (data_out_0, data_out_1 or data_out_2) bus. III. REGISTER BLOCK This module contains status, data and parity registers required by router. All the registers in this module are latched on rising edge of the clock. Data registers latches the data from data input based on state and status control signals, and this latched data is sent to the fifo for storage. Apart from it, data is also latched into the parity registers for parity calculation and it is compared with the parity byte of the packet. An error signal is generated if packet parity is not equal to the calculated parity as shown in Fig.5. Fig.5. Router Output Block. IV. ROUTER CONTROLLER (FSM) This module generates all the control signals when new packet is sent to router as shown in Fig.6. These control signals are used by other modules to send data at output, writing data into the fifo. There are 3 fifos used in the router design. Each fifo is of 8 bit width and 16 bit depth. The fifo works on system clock. It has synchronous input signal reset. If resetn is low then full =0, empty = 1 and data_out = 0.

The FIFO has doing 3 deferent operations Write Operation Read operation Read and Write Operation CH.KARTHIK, R.S.UMA SUSEELA Fig.8. Synthesis report of 5 Port Router. Fig.6. Block Diagram of FSM. The functionality of FIFO explains Below Fig.7. Fig.7. Five port Router FIFO. Write operation: The FIFO write operation is done by when the data from input data_in is sampled at rising edge of the clock when input write_enb is high and fifo is not full. in this condition onaly FIFO Write operation is done. Read Operation: The FIFO Read Operation is The data is read from output data_out at rising edge of the clock, when read_enb is high and fifo is not empty. Read and Write operation can be done simultaneously. Full: it indicates that all the locations inside fifo has been written. Empty: it indicates that all the locations of fifo are empty. V. SIMULATION RESULTS The router was designed using verilog and it was synthesized using XILINX ISE and Simulated using Model SIM6.5e as shown in Figs.8 and 9. Fig.9. Port Router Output. VI. CONCLUSION Here we have proposed the router which supports five connections at the same time without any communication bottleneck. We have used simplest decoding logic, store and forward flow mechanism, packet switching, XY deterministic routing, input and output buffering which increases the performance of router. In this paper awe proposed a 5 Port router design for effective data transfer its simulation and synthesis was done using the verilog coding. VII. REFERENCES [1]D.M.Chapiro Globally Asynchronous Locally Synchronous Systems. PhD thesis, 1984. [2]. W. J. Dally and B. Towles. Route Packets, Not Wires: On-Chip Interconnection Networks. In Design Automation Conference, pages 684 689, 2001.

Design and Simulation Five Port Router using Verilog HDL [3]. J. Duato and L. Ni S. Yalamanchili. Interconnect Networks: An Engineering Approach. In IEEE CS Press, 1998. [4]. Fernando Moraes et. al. A Low Area Overhead Packetswitched Network On Chip: [5]. Architecture and Prototyping. In IFIP VLSI-SOC 2003, pages 318 323, 2003. [ 6]. S. Kumar et al. A Network on Chip Architecture and Design Methodology. In Annual Symposium on VLSI 2002, IEEE CS Press, pages 105 112, 2002. [7]. T.A. Bartic et al. Highly Scalable Network on Chip for Reconfigurable Systems. In Proceedings of the International Conference on System-On-Chip 2003, pages 79 82, Nov. 2003. [8].Theodore Marescaux et. al. Interconnection Networks Enable Fine-Grain Dynamic Multi-Tasking on FPGAs. In FPL 2002, pages 795 805, September 2002.