High Speed VLSI Architecture for 3-D Discrete Wavelet Transform

Similar documents
Design and Implementation of Lifting Based Two Dimensional Discrete Wavelet Transform

Memory-Efficient and High-Speed Line-Based Architecture for 2-D Discrete Wavelet Transform with Lifting Scheme

FPGA Implementation of Multiplierless 2D DWT Architecture for Image Compression

Three-D DWT of Efficient Architecture

Design of 2-D DWT VLSI Architecture for Image Processing

Implementation of Lifting-Based Two Dimensional Discrete Wavelet Transform on FPGA Using Pipeline Architecture

High Speed 3d DWT VlSI Architecture for Image Processing Using Lifting Based Wavelet Transform

Design and Implementation of 3-D DWT for Video Processing Applications

Manikandababu et al., International Journal of Advanced Engineering Technology E-ISSN

Implementation of Two Level DWT VLSI Architecture

An Efficient VLSI Architecture of 1D/2D and 3D for DWT Based Image Compression and Decompression Using a Lifting Scheme

Enhanced Implementation of Image Compression using DWT, DPCM Architecture

Design of DWT Module

FPGA IMPLEMENTATION OF MEMORY EFFICIENT HIGH SPEED STRUCTURE FOR MULTILEVEL 2D-DWT

FPGA Implementation of an Efficient Two-dimensional Wavelet Decomposing Algorithm

2D-DWT LIFTING BASED IMPLEMENTATION USING VLSI ARCHITECTURE

High performance VLSI architecture to improve contrast in digital mammographies using discrete wavelet transform.

Design and Analysis of Efficient Reconfigurable Wavelet Filters

IMPLEMENTATION OF 2-D TWO LEVEL DWT VLSI ARCHITECTURE

A Survey on Lifting-based Discrete Wavelet Transform Architectures

DUE to the high computational complexity and real-time

FPGA Implementation of 16-Point Radix-4 Complex FFT Core Using NEDA

VLSI Implementation of Low Power Area Efficient FIR Digital Filter Structures Shaila Khan 1 Uma Sharma 2

A Detailed Survey on VLSI Architectures for Lifting based DWT for efficient hardware implementation

Keywords - DWT, Lifting Scheme, DWT Processor.

The Serial Commutator FFT

LOW-POWER SPLIT-RADIX FFT PROCESSORS

FIR Filter Architecture for Fixed and Reconfigurable Applications

An HEVC Fractional Interpolation Hardware Using Memory Based Constant Multiplication

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

Efficient Implementation of Low Power 2-D DCT Architecture

A Normal I/O Order Radix-2 FFT Architecture to Process Twin Data Streams for MIMO

ASIC Implementation of one level 2D DWT and 2D DWT in Hybrid Wave-Pipelining & Pipelining

DESIGN OF DCT ARCHITECTURE USING ARAI ALGORITHMS

VHDL Implementation of Multiplierless, High Performance DWT Filter Bank

Low Power and Memory Efficient FFT Architecture Using Modified CORDIC Algorithm

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE

IMPLEMENTATION OF IMAGE RECONSTRUCTION FROM MULTIBAND WAVELET TRANSFORM COEFFICIENTS J.Vinoth Kumar 1, C.Kumar Charlie Paul 2

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

Batchu Jeevanarani and Thota Sreenivas Department of ECE, Sri Vasavi Engg College, Tadepalligudem, West Godavari (DT), Andhra Pradesh, India

VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier

II. MOTIVATION AND IMPLEMENTATION

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier

AN EFFICIENT TECHNIQUE USING LIFTING BASED 3-D DWT FOR BIO-MEDICAL IMAGE COMPRESSION

Area And Power Optimized One-Dimensional Median Filter

Vertical-Horizontal Binary Common Sub- Expression Elimination for Reconfigurable Transposed Form FIR Filter

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

IMPLEMENTATION OF AN ADAPTIVE FIR FILTER USING HIGH SPEED DISTRIBUTED ARITHMETIC

The Efficient Implementation of Numerical Integration for FPGA Platforms

A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter

A scalable, fixed-shuffling, parallel FFT butterfly processing architecture for SDR environment

Linköping University Post Print. Analysis of Twiddle Factor Memory Complexity of Radix-2^i Pipelined FFTs

MCM Based FIR Filter Architecture for High Performance

Fixed Point LMS Adaptive Filter with Low Adaptation Delay

ISSN Vol.05,Issue.09, September-2017, Pages:

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER

Image Compression & Decompression using DWT & IDWT Algorithm in Verilog HDL

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory

FPGA Implementation of Low Complexity Video Encoder using Optimized 3D-DCT

Comparison of Digital Image Watermarking Algorithms. Xu Zhou Colorado School of Mines December 1, 2014

THE orthogonal frequency-division multiplex (OFDM)

Power and Area Efficient Implementation for Parallel FIR Filters Using FFAs and DA

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding

Comparative Study and Implementation of JPEG and JPEG2000 Standards for Satellite Meteorological Imaging Controller using HDL

Parallel-computing approach for FFT implementation on digital signal processor (DSP)

Design and Implementation of Effective Architecture for DCT with Reduced Multipliers

An Efficient Architecture for Lifting-based Two-Dimensional Discrete Wavelet Transforms

Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm For FIR Filter

High Performance VLSI Architecture of Fractional Motion Estimation for H.264/AVC

Chip Design for Turbo Encoder Module for In-Vehicle System

HIGH-THROUGHPUT FINITE FIELD MULTIPLIERS USING REDUNDANT BASIS FOR FPGA AND ASIC IMPLEMENTATIONS

Design and Implementation of CVNS Based Low Power 64-Bit Adder

Optimized Design Platform for High Speed Digital Filter using Folding Technique

Critical-Path Realization and Implementation of the LMS Adaptive Algorithm Using Verilog-HDL and Cadence-Tool

Satellite Image Processing Using Singular Value Decomposition and Discrete Wavelet Transform

VLSI Implementation of Daubechies Wavelet Filter for Image Compression

Design Optimization Techniques Evaluation for High Performance Parallel FIR Filters in FPGA

HIGH LEVEL SYNTHESIS OF A 2D-DWT SYSTEM ARCHITECTURE FOR JPEG 2000 USING FPGAs

Express Letters. A Simple and Efficient Search Algorithm for Block-Matching Motion Estimation. Jianhua Lu and Ming L. Liou

Discrete wavelet transform realisation using run-time reconfiguration of field programmable gate array (FPGA)s

2016 Maxwell Scientific Publication Corp. Submitted: August 21, 2015 Accepted: September 11, 2015 Published: January 05, 2016

Implementation of A Optimized Systolic Array Architecture for FSBMA using FPGA for Real-time Applications

An Efficient Constant Multiplier Architecture Based On Vertical- Horizontal Binary Common Sub-Expression Elimination Algorithm

Systolic Arrays for Reconfigurable DSP Systems

A VLSI Architecture for H.264/AVC Variable Block Size Motion Estimation

Directionally Selective Fractional Wavelet Transform Using a 2-D Non-Separable Unbalanced Lifting Structure

Implementation of Pipelined Architecture Based on the DCT and Quantization For JPEG Image Compression

Low Power Complex Multiplier based FFT Processor

VLSI Implementation of Parallel CRC Using Pipelining, Unfolding and Retiming

Parallel FIR Filters. Chapter 5

High-Performance FIR Filter Architecture for Fixed and Reconfigurable Applications

Optimizing the Deblocking Algorithm for. H.264 Decoder Implementation

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

Design and Implementation of Gabor Type Filters on FPGA

Fast and Memory Efficient 3D-DWT Based Video Encoding Techniques

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications

to ensure that both image processing and the intermediate representation of the coefficients are performed without significantly losing quality. The r

Transcription:

High Speed VLSI Architecture for 3-D Discrete Wavelet Transform B.K.N.Srinivasarao and Indrajit Chakrabarti Department of Electronics and Electrical Communication Engineering Indian Institute of Technology, Kharagpur, INDIA E.Mail : srinu.bkn@iitkgp.ac.in, indrajit@ece.iitkgp.ernet.in arxiv:509.0468v [cs.ar] 4 Sep 05 Abstract This paper presents a memory efficient, high throughput parallel lifting based running three dimensional discrete wavelet transform (3-D DWT) architecture. 3-D DWT is constructed by combining the two spatial and four temporal processors. Spatial processor (SP) apply the two dimensional DWT on a frame, using lifting based 9/7 filter bank through the row rocessor (RP) in row direction and then apply in the colum direction through column processor (CP). To reduce the temporal memory and the latency, the temporal processor (TP) has been designed with lifting based -D Haar wavelet filter. The proposed architecture replaced the multiplications by pipeline shift-add operations to reduce the CPD. Two spatial processors works simultaneously on two adjacent frames and provide -D DWT coefficients as inputs to the temporal processors. TPs apply the one dimensional DWT in temporal direction and provide eight 3-D DWT coefficients per clock (throughput). Higher throughput reduces the computing cycles per frame and enable the lower power consumption. Implementation results shows that the proposed architecture has the advantage in reduced memory, low power consumption, low latency, and high throughput over the existing designs. The RTL of the proposed architecture is described using verilog and synthesized using 90-nm technology CMOS standard cell library and results show that it consumes 43.4 mw power and occupies an area equivalent to 3.45 K equivalent gate at frequency of 00 MHz. The proposed architecture has also been synthesised for the Xilinx zynq 700 series field programmable gate array (FPGA). Index Terms Index Terms : discrete wavelet transform, 3-D DWT, lifting based DWT, VLSI Architecture, flipping structure, strip-based scanning. I. INTRODUCTION Video compression is a major requirement in many of the recent applications like medical imaging, studio applications and broadcasting applications. Compression ratio of the encoder completely depends on the underlying compression algorithms. The goal of compression techniques is to reduce the immense

amount of visual information to a manageable size so that it can be efficiently stored, transmitted, and displayed. 3-D DWT based compressing system enables the compression in spatial as well as temporal direction which is more suitable for video compression. Moreover, wavelet based compression provide the scalability with the levels of decomposition. Due to continuous increase in size of the video frames (HD to UHD), video processing through software coding tools is more complex. Dedicated hardware only can give higher performance for high resolution video processing. In this scenario there is a strong requirement to implement a VLSI architecture for efficient 3-D DWT processor, which consumes less power, area efficient, memory efficient and should operate with a higher frequency to use in real-time applications. From the last two decades, several hardware designs have been noted for implementation of -D DWT and 3-D DWT for different applications. Majority of the designs are developed based on three categories, viz. (i) convolution based (ii) lifting-based and (iii) B-Spline based. Most of the existing architectures are facing the difficulty with larger memory requirement, lower throughput, and complex control circuit. In general the circuit complexity is denoted by two major components viz, arithmetic and Memory component. Arithmetic component includes adders and multipliers, whereas memory component consists of temporal memory and transpose memory. Complexity of the arithmetic components is fully depends on the DWT filter length. In contrast size of the memory component is depends on dimensions of the image. As image resolutions are continuously increasing (HD to UHD), image dimensions are very high compared to filter length of the DWT, as a result complexity of the memory component occupied major share in the overall complexity of DWT architecture. Convolution based implementations []-[3] provides the outputs within less time but require high amount of arithmetic resources, memory intensive and occupy larger area to implement. Lifting based a implementations requires less memory, less arithmetic complex and possibility to implement in parallel. However it require long critical path, recently huge number of contributions are noted to reduce the critical path in lifting based implementations. For a general lifting based structure [4] provides critical path of 4T m 8T a, by introducing 4 stage pipeline it cut down to T m T a. In [5] Huang et al., introduced a flipping structure it further reduced the critical path to T m T a. Though, it reduced the critical path delay in lifting based implementation, it requires to improve the memory efficiency. Majority of the designs

3 implement the -D DWT, first by applying -D DWT in row-wise and then apply -D DWT in column wise. It require huge amount of memory to store these intermediate coefficients. To reduce this memory requirements, several DWT architecture have been proposed by using line based scanning methods [7]- []. Huang et al., [7]-[8] give brief details of B-Spline based -D IDWT implementation and discussed the memory requirements for different scan techniques and also proposed a efficient overlapped strip-based scanning to reduce the internal memory size. Several parallel architectures were proposed for lifting-based -D DWT [8]-[7]. Y. Hu et al. [7], proposed a modified strip based scanning and parallel architecture for -D DWT is the best memory-efficient design among the existing -D DWT architectures, it requires only 3N 4P of on chip memory for a N N image with P parallel processing units (PU). Several lifting based 3-D DWT architectures are noted in the literature [8]-[4] to reduce the critical path of the -D DWT architecture and to decrease the memory requirement of the 3-D architecture. Among the best existing designs of 3-D DWT, Darji et al. [4] produced best results by reducing the memory requirements and gives the throughput of 4 results/cycle. Still it requires the large on-chip memory (4N 0N). In this paper, we propose a new parallel and memory efficient lifting based 3-D DWT architecture, requires only (3N 60P )48 words of on-chip memory and produce 8 results/cycle. The proposed 3-D DWT architecture is built with two spatial -D DWT (CDF 9/7) processors and four temporal -D DWT (Haar) processors. Proposed architecture for 3-D DWT replaced the multiplication operations by shift and add, it reduce the CPD from T m T a to 4T a. Further reduction of CPD to T a is done by introducing pipeline in the processing elements. To eliminate the temporal memory and to reduce the latency, Haar wavelet is incorporated in temporal processor. The resultant architecture has reduce the latency, on chip memory and to increase the speed of operation compared to existing 3-D DWT designs. The following sections provide the architectural details of proposed 3-D DWT through spatial and temporal processors. Organization of the paper as follows. Theoretical background for DWT is given in section II. Detailed description of the proposed architecture for 3-D DWT is provided in section III. Implementation results and performance comparison is given in Section IV. Finally, concluding remarks are given in Section V. II. THEORETICAL BACKGROUND Lifting based wavelet transform designed by using a series of matrix decomposition specified by the Daubechies and Sweledens in [4]. By applying the flipping [5] to the lifting scheme, the multipliers in the longest delay path are eliminated, resulting in a shorter critical path. The original data on which DWT is

4 applied is denoted by X[n], and the -D DWT outputs are the detail coefficients H[n] and approximation coefficients L[n]. For the Image (-D) above process is performed in rows and columns as well. Eqns.()- (6) are the design equations for flipping based lifting (9/7) -D DWT [6] and the same equations are used to implement the proposed row processor (-D DWT) and column processor (-D DWT). H [n] a X[n ] {X[n] X[n ]}... P () L [n] b X[n] {H [n] H [n ]}... U () H [n] c H [n] {L [n] L [n ]}... P (3) L [n] d L [n] {H [n] H [n ]}... U (4) H[n] K0 {H [n]} (5) L[n] K {L [n]} (6) Where a = /α, b = /αβ, c = /βγ, d = /γδ, K0 = αβγ/ζ, and K = αβγδζ [4]. The lifting step coefficients α, β, γ, δ and scaling coefficient ζ are constants and its values α =.5863434, β = 0.059808, γ = 0.889076, and δ = 0.44350685, and ζ =.49604398. Lifting based wavelets are always memory efficient and easy to implement in hardware. The lifting scheme consists of three steps to decompose the samples, namely, splitting, predicting (eqn. () and (3)), and updating (eqn. () and (4)). Haar wavelet transform is orthogonal and simple to construct and provide fast output. By considering the advantages of the Haar wavelets, the proposed architecture uses the Haar wavelet to perform the -D DWT in temporal direction (between two adjacent frames). Sweldens et al. [5] developed a lifting based Haar wavelet. The equations of the lifting scheme for the Haar wavelet transform is as shown in eqn.(7) L 0 = H 0 S(z) 0 0 P (z) X 0(z) (7) X (z) L = (X 0 X ) H = (X X 0 ) (8) Eqn.(8) is extracted by substituting Predict value P (z) as and Update step S(z) value as / in eqn.(7), which is used to develop the temporal processor to apply -D DWT in temporal direction (3 rd dimension).

5 X(n) LL0 LLL X(n) X(n) X(n3) X(n4) -D DWT (frame: n) LH0 HL0 HH0 -D Haar wavelet -D Haar wavelet LLH LHL LHH HLL X(n) LL -D Haar wavelet HLH X(n) X(n) X(n3) X(n4) -D DWT (frame: n) LH HL HH -D Haar wavelet HHL HHH 3-D DWT X(n4) X(n3) X(n) X(n) X(n) Row Processor Mem_alpha Mem_beta Mem_gama Row Memory X0 X - Stage- Transpose Unit Column Processor >> >>3 >>4 >> >>3 >>4 >>6 >>6 Stage- Re-arrange Unit HH HL LH LL XL XH -D Haar wavelet Stage-3 Figure. Block diagram for 3-D DWT Where L and H are the low and High frequency coefficients respectively. III. PROPOSED ARCHITECTURE FOR 3-D DWT The proposed architecture for 3-D DWT comprising of two parallel spatial processors (-D DWT) and four temporal processors (-D DWT), is depicted in Fig.. After applying -D DWT on two consecutive frames, each spatial processor (SP) produces 4 sub-bands, viz. LL, HL, LH and HH and are fed to the inputs of four temporal processors (TPs) to perform the temporal transform. Output of these TPs is a low frequency frame (L-frame) and a high frequency frame (H-frame). Architectural details of the spatial processor and temporal processors are discussed in the following sections. A. Architecture for Spatial Processor In this section, we propose a new parallel and memory efficient lifting based -D DWT architecture denoted by spatial processor (SP) and it consists of row and column processors. The proposed SP is a revised version of the architecture developed by the Y. Hu et al.[7]. The proposed architecture utilizes the strip based scanning [7] to enable the trade-off between external memory and internal memory. To reduce the critical path in each stage flipping model [5]-[6] is used to develop the processing element (PE). Each PE has been developed with shift and add techniques in place of multiplier. Lifting based (9/7) -D DWT process has been performed by the processing unit (PU) in the proposed architecture. As shown in Fig., the proposed PU is designed with five PEs, and each PE (except first PE (shift PE))

6 X(n-) X(n-) X(n) X(n-) X(n-) X(n) X[n ] X[n ] X[ n] Shift and Add X [n ] X [n ] Shift and Add B a * X[n ] C - A st Stage Shift and Add X[ n] X[n ] b * X[ n] Shift and Add d * L[ n] D d * L[ n] B A H [ n] H [ n ] L [ ] n L [ n ] nd Stage 3 rd Stage C 5 th Stage 4 th Stage D c * H[ n] - Shift H ( n ) (scaling) H ( n) L ( n) Shift (scaling) Ln ( ) H ( n) L(n-) >>7 >> >>3 Scaling X (n-) X (n-) X(n-) X(n) H(n) <<4 << >> >>3 >>4 - << <<3 X (n) H (n) H (n) L(n) H(n) - H(n) << >> >>4 >>5 L (n) L(n) st Stage (shift_pe) nd Stage (PE_alpha) H(n-) 3 rd Stage (PE_beta) 4 th Stage (PE_gama) H(n-) 5 th Stage (PE_delta) L(n-) >>7 >> >>3 X (n-) X (n-) X(n-) X(n) H(n) <<4 << >> >>3 - << <<3 X (n) H (n) H (n) L(n) - >>4 H(n) << >> >>4 >>5 L (n) Stage-9 Stage- (shift_pe) Stage- Stage-3 H(n-) Stage-4 Stage-5 Stage-6 Stage-7 H(n-) Stage-8 PE_alpha PE_beta PE_gama PE_delta (a) (b) H(n) (c) L(n) Figure. (a) Data Flow Graph of Processing Unit (b) Processing Unit with five pipeline stages (c) Processing Unit with nine pipeline stages has been constructed with two pipeline stages for further reduction of CPD. This modified PU, reduces the CPD to T a (adder delay). Fig. shows that the number of inputs to the spatial processor is equal to P, which is also equal to the width of the strip. Where P is the number of parallel processing units (PUs) in the row processor as well as column processor. We have designed the proposed architecture with two parallel processing units (P = ). The same structure can be extended to P = 4, 8, 6 or 3 depending on external bandwidth. Whenever row processor produces the intermediate results, immediately column processor start to process on those intermediate results. Row processor takes 9 clocks to produce the temporary results then after column processor takes 9 more clocks to to give the -D DWT output; finally, temporal processor takes 3 more clocks after -D DWT results are available to produce 3-D DWT output. As a summary, proposed -D DWT and 3-D DWT architectures have constant latency of 8 and clock cycles respectively, regardless of image size N and number of parallel PUs (P). Details of the row processor and column processor are given in the following sub-sections. ) Row Processor (RP): Let X be the image of size N N, extend this image by one column by using symmetric extension. Now image size is N (N ). Refer [7] for the structure of strip based scanning method. The proposed architecture initiates the DWT process in row wise through row processor (RP) then process the column DWT by column processor (CP). Fig. 3(a). shows the generalized structure

7 X[ n ( k )] X[ n ( k )] X[ n k] X[n ] X[n ] X[ n] X[ n] X[n ] X[n ] H(P-,3) H(P-,) L(P-,) L(P-,0) H(P-,) H(P-,0) H(0,3) L(0,) H(0,) H(0,) L(0,0) H(0,0) Shifting_PE Shifting_PE Shifting_PE Processing unit-p Memory _alpha Memory _beta X[ n ( k )] X [ n ( k )] X [ n ( k )] PE_alpha b * X[ n ( k )] H [ n P ] PE_beta H n P ] [ H [ n ] P L[ n P ] Processing unit- X[n ] X [n ] X [n ] H [ n ] L[ n ] PE_alpha b * X[n ] PE_beta H [ n ] H [ n ] Processing unit- X[ n ] X [n ] X [n ] X[n ] H [ n] PE_alpha b * X[ n] PE_beta H [ n ] L [ ] n H [ n] H [ n] L [ n ] Shifting_PE PE_alpha PE_beta Processing Unit-P Processing Unit- Shifting_PE PE_alpha PE_beta PE_gama PE_gama PE_gama Memory _gama H [ n P ] d * L[ n P ] H [ n ] d * L[ n ] H ( n) d * L[ n] H ( n ) PE_gama PE_gama PE_delta PE_delta PE_delta Hn [ P ] Ln [ P ] Transpose Transpose Unit Hn [ ] Transpose (a) Ln [ ] H ( n) Transpose L( n) : HH(P-,) LH(P-,0) HH(P-,0) PE_delta : HL(P-,) LL(P-,0) HL(P-,0) (b) : HH(0,) LH(0,0) HH(0,0) PE_delta : HL(0,) LL(0,0) HL(0,0) Figure 3. (a)row Processor (b) Column Processor L(3,P) L(,P) L(,P) L(0,P) H(3,P) H(,P) H(,P) H(0,P) MUX MUX L(,P) H(,P) L(0,P) H(0,P) L(3,P) H(3,P) L(,P) H(,P) (a) MUX LL LL(0,) LL(0,0) LL(,0) HL(,0) LL(0,0) HL(0,0) 0 LH(,0) HH(,0) LH(0,0) HH(0,0) MUX LH LH(0,) LH(0,0) 0 0 LL(,) HL(,) LL(0,) HL(0,) MUX HL HL(0,) HL(0,0) LH(,) HH(,) LH(0,) HH(0,) 0 MUX HH HH(0,) HH(0,0) Re-arrange unit (b) Figure 4. (a) Transpose ister (Ref:[7]) (b) Re-arrange Unit

8 for a row processor with P number of PUs. P = has been considered for our proposed design. For the first clock cycle, RP get the pixels from X(0, 0) to X(0, P ) simultaneously. For the second clock RP gets the pixels from next row i.e. X(, 0) to X(, P ), the same procedure continues for each clock till it reaches the bottom row i.e., X(N, 0) to X(N, P ). Then it goes to the next strip and RP get the pixels from X(0, P ) to X(0, 4P ) and it continues this procedure for entire image. Each PU consists of five pipeline stages and each pipeline stage is processed by one processing element (PE) as depicted in Fig. (b). First stage (shift PE) provide the partial results which is required at nd stage (PE alpha), likewise processing elements PE alpha to PE delta ( nd stage to 5 th stage) gives the partial results along with their original outputs. (e.g., consider the PE alpha of PU-, it needs to provide output corresponding to eqn.() (H [n]), along with H [n], it also provides the partial output X [n] which is required for the PE beta). Structure of the PEs are given in the Fig. (b), it shows that multiplication is replaced with the shift and add technique. The original multiplication factor and the value through the shift and add circuit are noted in Table.I, it shows that variation between original and adopted one is extremely small. As shown in Fig. (b), time delay of shift PE is one T a and remaining all PEs are having delay of T a. To reduce the CPD of PU, PEs from PE alpha to PE delta are divided in to two pipeline stages, and each pipeline stage has a delay of T a, as a result CPD of PU is reduced to T a and pipeline stages are increased to nine and is shown in Fig. (c). The outputs H [n P ], L [n P ], and H [n P ] corresponding to PE alpha and PE beta of last PU and PE gama of last PU is saved in the memories Memory alpha, Memory beta and Memory gama respectively, shown in Fig. 3(a). Those stored outputs are inputted for next subsequent columns of the same row. For a N N image rows is equivalent to N. So the size of the each memory is N words and total row memory to store these outputs is equals to 3N. Output of each PU are under gone through a process of scaling before it producing the outputs H and L. These outputs are fed to the transposing unit. The transpose unit has P number of transpose registers (one for each PU). Fig. 4(a) shows the structure of transpose register, and it gives the two H and two L data alternatively to the column processor. ) Column Processor (CP): The structure of the column processor (CP) is shown in Fig. 3(b). To match with the throughput of RP, CP is also designed with two number of PUs in our architecture. Each transpose register produces a pair of H and L in an alternative order and are fed to the inputs of one PU of the CP. The partial results produced are consumed by the next PE after two clock cycles. As such, shift registers of length two are needed within the CP between each pipeline stages for caching the partial results

9 Table I ORIGINAL AND ADOPTED VALUES FOR MULTIPLICATION Original Multiplier PE Multiplier value through Value shift and add PE alpha a =-0.6305 a =-0.638 PE beta b =.90 b = PE gama c =-.378 c =-.375 PE delta d =.55 d =.565 (except between st and nd pipeline stages). At the output of the CP, four sub-bands are generated in an interleaved pattern, i.e.(hl, HH), (LL, LH), (HL, HH), (LL, LH), and so on. Outputs of the CP are fed to the re-arrange unit. Fig. 4(b) shows the architecture for re-arrange unit, and it provides the outputs in sub-band order i.e.ll, LH, HL and HH simultaneously, by using P registers and P multiplexers. For multilevel decomposition, the same DWT core can be used in a folded architecture with an external frame buffer for the LL sub-band coefficients. B. Architecture for Temporal Processor (TP) Eqn.(8) shows that Haar wavelet transform depends on two adjacent pixels values (same pixel position of adjacent frames, for temporal processing). As soon as spatial processors are provide the -D DWT results, temporal processors starts processing on the spatial processor outputs (-D DWT results) and produce the 3-D DWT results. Fig. shows that there is no requirement of temporal buffer, due to the sub-band coefficients of two spatial processors are directly connected to the four temporal processors. But it has been designed with 3 pipeline stages, it require 6 pipeline registers for each TP. Same frequency sub-band of the distinct spatial processors are fed to the each temporal processor. i.e. LL, HL, LH and HH sub-bands of the spatial processor and are given as inputs to the temporal processor,, 3 and 4 respectively. Temporal processor apply -D Haar wavelet on sub-band coefficients, and provide the low frequency sub-band and high frequency sub-band as output. By combining all low frequency sub-bands and high frequency sub-bands of all temporal processors provide the 3-D DWT output in the form of L-Frame and H-Frame (-D DWT by spatial processors and -D DWT by temporal processors). IV. IMPLEMENTATION RESULTS AND PERFORMANCE COMPARISON The proposed 3-D DWT architecture has been described in Verilog HDL. A uniform word length of 4 bits has been maintained throughout the design. Simulation results have been verified by using Xilinx

0 Table II DEVICE UTILISATION SUMMARY OF THE PROPOSED ARCHITECTURE Logic utilized Used Available Utilization Slice isters 958 06400 % Number of Slice LUTs 85 5300 5% Number of fully used LUT-FF pairs 37 3673 30% Number of Block RAM 3 40 % Table III COMPARISON OF PROPOSED -D DWT ARCHITECTURE WITH EXISTING ARCHITECTURES (FOR -LEVEL) Parameter Zhang [] Mohanty [3] Darji [4] Yusong [7] Proposed Multipliers 0 9P 0 0P 0 Adders 6 6P 6 6P 34P Internal Memory 4N37 5P5.5N 4N 4P3N 60P3N Critical path T m T m T a T m T m T a T a Computation Time N / N /P N / N /P N /P Throughput /T m P/T m T a /T m P/T m T a P/T a Table IV COMPARISON OF PROPOSED 3-D DWT ARCHITECTURE WITH EXISTING ARCHITECTURES (FOR -LEVEL) Parameters Weeks [9] Taghavi [0] A.Das [] Darji [4] Proposed Memory requirement 6N 6l 5N 5N 5N 4N 0N *(3N60P)48 Throughput/cycle - result results 4 results 8 results Computing time For Frames N 3l/ 6N 3N 3N N /P Latency.5N 0.5l 4N cycles N cycles 3N / cycles cycles Area - - 85 slices 490 slices 85 slice LUTs Operating 3 MHz 9.87 MHz 65 MHz 00 MHz (ASIC) - Frequency (FPGA) (FPGA) (FPGA) Multipliers - - Nil 30 Nil Adders 6l MACs - 78 48 68 Filter bank l-length D-9/7 D-9/7 D-9/7 D-9/7 (-D) Haar (-D) Table V SYNTHESIS RESULTS (DESIGN VISION) COMPARISON OF PROPOSED 3-D DWT ARCHITECTURE WITH EXISTING Parameters Darji et al.,[4] Proposed Comb. Area 635 µm 5649 µm Non Comb. Area 8073 µm 553078 µm Total Cell Area 868574 µm 079498 µm Operating Voltage.98 V. V Total Dynamic Power 79.75 mw 38.56 mw Cell Leakage Power 46.87 µw 4.86 mw

ISE simulator. We have simulated the Matlab model which is similar to the proposed 3-D DWT hardware architecture and verified the 3-D DWT coefficients. RTL simulation results have been found to exactly match the Matlab simulation results. The Verilog RTL code is synthesized using Xilinx ISE 4. tool and mapped to a Xilinx programmable device (FPGA) 7z00clg484 (zynq board) with speed grade of -3. Table II shows the device utilization summary of the proposed architecture and it operates with a maximum frequency of 65 MHz. The proposed architecture has also been synthesized using SYNOPSYS design compiler with 90-nm technology CMOS standard cell library. It consumes 43.4 mw power and occupies an area equivalent to 3.45 K equivalent gate at frequency of 00 MHz. A. Comparison The performance comparison of the proposed -D and 3-D DWT architectures with other existing architectures is figure out in Tables III and IV respectively. The proposed -D processor requires zero multipliers, 34P (Pis number of parallel PUs) adders, 60P3N internal memory. It has a critical path delay of T a with a throughput of four outputs per cycle with N /P computation cycles to process an image with size N N. When compared to recent -D DWT architecture developed by the Y.Hu et al. [7], CPD reduced to T a from T m T a with the cost of small increase in hardware resources. Table IV shows the comparison of proposed 3-D DWT architecture with existing 3-D DWT architecture. It is found that, the proposed design has less memory requirement, High throughput, less computation time and minimal latency compared to [9], [0], [], and [4]. Though the proposed 3-D DWT architecture has small disadvantage in area and frequency, when compared to [], the proposed one has a great advantage in remaining all aspects. Table V gives the comparison of synthesis results between the proposed 3-D DWT architecture and [4]. It seems to be proposed one occupying more cell area, but it included total on chip memory also, where as in [4] on chip memory is not included. Power consumption of the proposed 3-D architecture is very less compared to [4]. V. CONCLUSIONS In this paper, we have proposed memory efficient and high throughput architecture for lifting based 3-D DWT. The proposed architecture is implemented on 7z00clg484 FPGA target of zynq family, also

synthesized on Synopsys design vision for ASIC implementation. An efficient design of -D spatial processor and -D temporal processor reduces the internal memory, latency, CPD and complexity of a control unit, and increases the throughput. When compared with the existing architectures the proposed scheme shows higher performance at the cost of slight increase in area. The proposed 3-D DWT architecture is capable of computing 60 UHD (3840 60) frames in a second. REFERENCES [] Q. Dai, X. Chen, and C. Lin, A Novel VLSI Architecture for Multidimensional Discrete Wavelet Transform, IEEE Transactions on Circuits and Systems for Video Technology, Vol. 4, No. 8, pp. 05-0, Aug. 004. [] C. Cheng and K. K. Parhi, High-speed VLSI implementation of -D discrete wavelet transform, IEEE Trans. Signal Process., vol. 56, no., pp. 393-403, Jan. 008. [3] B. K. Mohanty and P. K. Meher, Memory-Efficient High-Speed Convolution-based Generic Structure for Multilevel -D DWT. IEEE Transactions on Circuits and Systems for Video Technology, VOL. 3, NO., pp. 353-363, Feb. 03. [4] I. Daubechies and W. Sweledens, Factoring wavelet transforms into lifting schemes, J. Fourier Anal. Appl., vol. 4, no. 3, pp. 47-69, 998. [5] C.T. Huang, P.C. Tseng, and L.-G. Chen, Flipping structure: An efficient VLSI architecture for lifting-based discrete wavelet transform, IEEE Trans. Signal Process., vol. 5, no. 4, pp. 080-089, Apr. 004. [6] C.-Y. Xiong, J.-W. Tian, and J. Liu, A Note on Flipping Structure: An Efficient VLSI Architecture for Lifting-Based Discrete Wavelet Transform, IEEE Transactions on Signal Processing, Vol. 54, No. 5,pp. 90-96, MAY 006 [7] C.-T. Huang, P.-C. Tseng, and L.-G. Chen, Analysis and VLSI architecture for -D and -D discrete wavelet transform, IEEE Trans. Signal Process., vol. 53, no. 4, pp. 575-586, Apr. 005. [8] C.-C. Cheng, C.-T. Huang, C.-Y. Ching, C.-J. Chung, and L.-G. Chen, On-chip memory optimization scheme for VLSI implementation of line based two-dimentional discrete wavelet transform, IEEE Transactions on Circuits and Systems for Video Technology, vol. 7, no. 7, pp. 84-8, Jul. 007. [9] H.Y. Liao, M. K. Mandal, and B. F. Cockburn, Efficient architectures for -D and -D lifting-based wavelet transforms, IEEE Transactions on Signal Processing, vol. 5, no. 5, pp. 35-36, May 004. [0] B.F. Wu and C.F. Chung, A high-performance and memory-efficient pipeline architecture for the 5/3 and 9/7 discrete wavelet transform of JPEG000 codec, IEEE Trans. Circuits Syst. Video Technol., vol. 5, no., pp. 65-68, Dec. 005. [] C.-Y. Xiong, J. Tian, and J. Liu, Efficient architectures for two-dimensional discrete wavelet transform using lifting scheme, IEEE Transactions on Image Processing, vol. 6, no. 3, pp. 607-64, Mar. 007. [] W. Zhang, Z. Jiang, Z. Gao, and Y. Liu, An efficient VLSI architecture for lifting-based discrete wavelet transform, IEEE Transactions on Circuits and Systems-II: Express Briefs, Vol. 59, No. 3, pp. 58-6, Mar. 0. [3] B. K. Mohanty and P. K. Meher, Memory Efficient Modular VLSI Architecture for High throughput and Low-Latency Implementation of Multilevel Lifting -D DWT, IEEE Transactions on Signal Processing, Vol. 59, No. 5, pp. 07-084, May 0. [4] A.Darji, S. Agrawal, Ankit Oza, V. Sinha, A.Verma, S. N. Merchant and A. N. Chandorkar, Dual-Scan Parallel Flipping Architecture for a Lifting-Based -D Discrete Wavelet Transform, IEEE Transactions on Circuits and Systems-II: Express Briefs, Vol. 6, No. 6, pp. 433-437, Jun. 04.

3 [5] B. K. Mohanty, A. Mahajan, and P. K. Meher, Area and power efficient architecture for high-throughput implementation of lifting -D DWT, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 59, no. 7, pp. 434-438, Jul. 0. [6] Y. Hu and C. C. Jong, A Memory-Efficient High-Throughput Architecture for Lifting-Based Multi-Level -D DWT, IEEE Transactions on Signal Processing, VOL. 6, NO. 0, pp.4975-4987, Oct. 5, 03. [7] Y. Hu and C. C. Jong, A Memory-Efficient Scalable Architecture for Lifting-Based Discrete Wavelet Transform, IEEE Transactions on Circuits and Systems-II: Express Briefs, VOL. 60, NO. 8, pp. 50-506, Aug. 03. [8] J. Xu, Z.Xiong, S. Li, and Ya-Qin Zhang, Memory-Constrained 3-D Wavelet Transform for Video Coding Without Boundary Effects, IEEE Transactions on Circuits and Systems for Video Technology, Vol., No. 9,pp. 8-88, Sep. 00. [9] M. Weeks and M. A. Bayoumi, Three-Dimensional Discrete Wavelet Transform Architectures, IEEE Transactions on Signal Processing, Vol. 50, No. 8, pp.050-063, Aug. 00. [0] Z. Taghavi and S. kasaei, A memory efficient algorithm for multidimensional wavelet transform based on lifting, in Proc. IEEE Int. Conf. Acoust Speech Signal Process. (ICASSP), vol. 6, pp. 40-404, 003. [] Q. Dai, X. Chen, and C. Lin, Novel VLSI architecture for multidimensional discrete wavelet transform, IEEE Transactions on Circuits and Systems for Video Technology, vol. 4, no. 8, pp. 05-0, Aug. 004. [] A. Das, A. Hazra, and S. Banerjee, An Efficient Architecture for 3-D Discrete Wavelet Transform, IEEE Transactions on Circuits and Systems for Video Technology, Vol. 0, NO., pp. 86-96, Feb. 00. [3] B. K. Mohanty and P. K. Meher, Memory-Efficient Architecture for 3-D DWT Using Overlapped Grouping of Frames, IEEE Transactions on Signal Processing, Vol. 59, No., pp.5605-566, Nov. 0. [4] A. Darji, S. Shukla, S. N. Merchant and A. N. Chandorkar, Hardware Efficient VLSI Architecture for 3-D Discrete Wavelet Transform, Proc. of 7 th Int. Conf. on VLSI Design and 3 th Int. Conf. on Embedded Systems pp. 348-35, 5-9 Jan. 04. [5] W.Sweldens, The Lifting Scheme: a Construction of Second Generation of Wavelets, SIAM Journal on Mathematical Analysis, Vol.9 No., pp. 5-546, 998.