Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Similar documents
Piper Jaffray Europe Conference London

Credit Suisse European Technology Conference

2010 UBS Global Technology and Services Conference

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011

Kaufman Brothers 13 th Annual Investor Conference

Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch

TMT Conference 2011 Bank of America

FBR Capital Markets 12 th Annual Spring Investor Conference

UBS Global Technology and Services Conference New York City. Craig DeYoung VP, Investor Relations & Corporate Communications

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager, SEMI Vietnam Semiconductor Strategy Summit September 16-17, 20214

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts

Fab Investment Outlook and The Surge of China. Shanshan Du Senior Analyst SEMI China June 2018

Natixis Technology Conference

DRAM Market Outlook: Worldwide Change in DRAM Underway as Capacitor Scaling Reaches Limit (Based on May MI Update / 2Q14 Diamond)

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager Industry Research & Statistics, SEMI

Nanya Technology. KGI Greater China Corporate Day 2017Q4. Presentation to Investors & Analysts, December 14 th, 2017 Joseph Wu, AVP & Deputy Spokesman

Micronic Mydata Q1, Peter Uddfors, President and CEO Carl-Johan Blomberg, CFO 28 April, 2011

I N V E S T O R S P R E S E N T A T I O N

I N V E S T O R S P R E S E N T A T I O N

Micronic Mydata Q4, Peter Uddfors, President and CEO Carl-Johan Blomberg, CFO 1 February, 2011

PRESS RELEASE January 16, 2009 Sony Ericsson reports results for fourth quarter and full year 2008

Sony Ericsson continues to invest for future growth

IFX Day Short-Term Semiconductor Market Outlook and Long-Term Industry Trends. Dieter May VP Corporate Strategy. Munich September 22, 2003

Global and China Semiconductor Equipment Industry Report, Apr. 2012

TABLE OF CONTENTS III. Section 1. Executive Summary

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014

PRESS RELEASE October 17, 2008

Flash Market Current & Future

Trends in R&D Investments Global ICT Companies 2007 to 2011

Sony Ericsson sells over 100 million handsets in 2007

Taiwan Semiconductor Manufacturing Company Ltd. Announces First Quarter Results for the Period Ended March 31, 2000

Silicon Motion Technology Corporation

SEMICO Res e arch Corporation

Public Public Slide 2 January Chips are everywhere Introducing ASML ASML s place in the industry

Nanya Technology. CREDIT SUISSE 18th Annual Asian Technology Conference

Archive 2017 BiTS Workshop- Image: Easyturn/iStock

Global and China Semiconductor Equipment Industry Report, Jan. 2014

Nanya Technology. Cathay Securities 1Q2017 Investor Summit. Presentation to Investors & Analysts, March 16 th, 2017 Joseph Wu, AVP & Deputy Spokesman

How One Multi-National EDA Vendor Navigates R&D in the Asian IC Design Market. Raul Camposano Sr. VP, CTO

Sony Ericsson reports second quarter 2010 results

Samsung Electronics Announces Third Quarter 2017 Results

Bank of America S-MID Cap Conference Boston, MA. March 26,2008

RESEARCH BULLETIN MARCH 27, 2013

Samsung Electronics Announces First Quarter Results

Nanya Technology DRAM Environment & Company Update

HVB Group German Investment Conference

All King County Summary Report

Safe Harbor Notice. MPI Corporation.

Sony Ericsson starts 2007 with strong first quarter

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer

FY2011 Financial Forecast and Basic Management Policy

Verigy a Premier Semiconductor Test Company

Urban Land Institute. November Make Your Move. Brian Beaulieu ITR Economics.

Seattle (NWMLS Areas: 140, 380, 385, 390, 700, 701, 705, 710) Summary

Semiconductor Market Outlook. Analog Semiconductor Leaders' Forum October 2011

Nanya Technology. WATERLAND Investment Forum Presentation to Investors & Analysts, August 29 th, 2017 Joseph Wu, AVP & Deputy Spokesman

Seattle (NWMLS Areas: 140, 380, 385, 390, 700, 701, 705, 710) Summary

SUSS MICROTEC INVESTOR PRESENTATION. November 2017

ST Business & Operations

Seattle (NWMLS Areas: 140, 380, 385, 390, 700, 701, 705, 710) Summary

YE02 Semiconductor Manufacturing Market: Foundry Services (Executive Summary) Executive Summary

The Vision Council Winds of Change

State of the Market. Jean-Philippe Dauvin Group Vice President Chief Economist. Field Trip London, May 23rd

Company Snapshot. $ million $2.46 billion 39% 52% $418.5 million

Investor Presentation

For personal use only

Mycronic, Q Lena Olving, President and CEO Torbjörn Wingårdh, CFO Clemens Jargon, VP Global Dispensing. 20 April, 2017

Asia Key Economic and Financial Indicators

China Wireless Investor Presentation

2012 NAND Flash Outlook

2014 Forecast Results

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO

Investor Presentation

Korea Semiconductor Test Now and the Future Tim Moriarty

2015 Annual Results Presentation (Audited results for the year ended 31 December)

October Machinery Orders

Presentation title goes here

Managing the downturn, Ready for the Upswing

SUSS MICROTEC INVESTOR PRESENTATION. February 2018

Asia Key Economic and Financial Indicators

F-Secure Corporation - Interim report Q2 2011

ISMI Industry Productivity Driver

DRAM Forecast Outlook, 3Q03: Price Rises Force Forecast Up

GMO Internet Group Profile. Internet for Everyone

Asia Key Economic and Financial Indicators

2016 Fourth Quarter Earnings. March 2017

NFC in Japan and NFC Forum

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

EUV. Frits van Hout Executive Vice President & Chief Program Officer. 24 November 2014

FISCAL REALITY. Alan Beaulieu, President, ITR Economics

Solomon Systech (International) Limited 2010 Annual Results Announcement. 24 March 2011 Hong Kong

Conference Call Second Quarter 2003 Financial Results. Jorma Ollila Chairman and CEO

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

Economic and Housing Market Trends and Outlook

An Executive View of Trends and Technologies in Electronics

Samsung Electronics. 10 th KRX Global Investors Conference -1 -

Kin-Wah Loh Member of the Management Board Infineon Technologies

2Q Main Highlights: Accelerated Recovering Path

F-Secure Corporation Interim report 2Q July 29th, 2008 Kimmo Alkio, President and CEO

Transcription:

Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young VP Investor Relations and Corporate Communications March 17, 2010

ASML - Leading supplier of lithography equipment for semiconductor manufacturing Headquarters: Veldhoven, The Netherlands Market cap ~ 10 B Employees ~ 6500 Slide 2

ASML market share development 7,000 70% WW M$ 6,000 60% ASML market share 5,000 50% 4,000 40% 3,000 30% 2,000 20% 1,000 10% 0 0% 1980 2005 2007 Sources: Gartner (1988-2009), SEMI (1980-2009) Market research agencies forecasts (2009-2010) Slide 3 Slide 3 World Wide Market [M USD] 1981 1982 1983 1984 1985 1986 1987 1988 1989 1990 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2006 2008 2009 ASML Revenue Market Share [%] 8,000 80%

ASML Total net sales M Net sales 4500 4000 3500 3000 2500 2000 1500 1000 500 0 1,543 526 370 329 611 616 318 453 2,465 2,529 785 548 533 3,582 1053 958 763 942 685 629 3,768 955 934 930 2,954 494 697 844 949 919 1,596 581 555 277 183 2003 2004 2005 2006 2007 2008 2009 2010 950 700 ASML guidance for Q1 and Q2 Q4 Q3 Q2 Q1 Numbers have been rounded for readers convenience. Slide 4

ASML supports chip makers shrink roadmaps Average of multiple customers input Resolution, "Shrink" (nm) 200 100 80 60 50 40 30 248 nm Technology transitions DRAM (working memory in PC s) Logic (microprocessors, the brains of PC s) 193 nm NAND Flash (special memory, used in, for example mp3 players) 20 EUV 13.5nm 02 03 04 05 06 07 08 09 10 11 12 13 14 Year of production start* 15 *Process development 1.5 ~ 2 years in advance. Slide 5

R&D spend supports continued technology leadership ASML R&D investment (excl. Zeiss for lenses) 4000 550 Total Sales (M ) 3500 3000 2500 2000 1500 1000 500 500 450 400 350 300 250 200 150 100 50 R&D Investment (M ) 0 1992 1994 1996 1998 2000 2002 2004 2006 2008 0 Total sales M R&D investment M Source: ASML Slide 6

ASML - #2 in European R&D spend per employee Company (market cap > 2.5 bln) R&D per employee ( ) Shire (pharma) 98,100 ASML (semiconductors) 78,000 Porsche (automotive) 74,900 UCB (pharma) 67,900 Lundbeck (pharma) 57,600 AstraZeneca (pharma) 54,800 Autonomy (software) 51,600 Sanofi-Aventis (pharma) 46,900 Nokia (telecoms equipment) 43,700 Alcatel-Lucent (telecoms equipment) 40,800 Source: European Commission: 2009 EU Industrial R&D Investment Scoreboard http://iri.jrc.ec.europa.eu/research/scoreboard_2009.htm TW/betapublishers 2009 Slide 7

ASML - R&D focus on 3 major strategic programs TWINSCAN NXT Holistic Lithography EUV Slide 8

Holistic Litho To keep process centered in application specific window using computational lithography, cost effective metrology and feedback loops Data Scanner settings design/ mask data SMO FlexRay Tuning Scanner settings Wafer data Wafer data BaseLiner Control Scanner settings Data Scanner settings Value to Customers: Higher Product Yield Faster time to market for new chip designs Slide 9

Immersion and EUV Technology Leadership Immersion Lithography Leader Installed Base >160 Systems at 22 Customers, across all sectors and geographies NXT:1950i Pilot Production EUV for 22nm and beyond 6 systems shipping to all sectors thru mid 2011 NXE:3100 Source: ASML Slide 10

Roadmap Cost of Technology Platform 2500 5500 stepper 5500 scanner TWINSCAN ArF dry TWINSCAN ArF wet EUV R&D 50 M 125 M 250 M 500 M 1,000 M 1,500 M Required Revenue Average Selling Price Required Number of tools 333 M 850 M 1,700 M 3,500 M 6,500 M 10,000 M 1 M 3 M 7 M 16 M 35 M 65 M 350 300 250 200 190 150 Source: ASML, R&D estimates ASML only Slide 11

Estimated market transition timing - High Volume Manufacturing DRAM NAND Node = HP [nm ] Node = HP [nm ] MPU Node / HP [nm ] LOGIC Node / HP [nm ] YEAR 2007 65 55 45 / 80 2008 55 45 45 / 70 2009 35 32 / 60 2010 2011 45 38 28 22 Spacer 22 / 40 2012 32 19 Spacer 32 / 50 2013 2014 28 22 16 Double Spacer 15 / 30 2015 22 ReRAM 11 / 22 22 / 35 Single expose SPT / / EUV * LELE / / EUV * Slide 12

Leading Edge Memory Drives Today s Backlog Total value M 1,853 NXT 17 systems or ~40% of immersion backlog End-use NAND 17% IDM 15% DRAM 56% ArF immersion 91% Foundry 13% Technology 8% ArF dry 1% Taiwan 27% USA 18% Korea 31% Region Japan 13% Singapore 7% Europe 4% Slide 13 Numbers have been rounded for readers convenience

Backlog: value and litho units ASPs on the rise Backlog value Systems Backlog value M 2500 2000 1500 1000 ASP 11M ASP 10.3M ASP 12.5M ASP 14.8M ASP 18.75M ASP 26.8M 300 250 200 150 100 500 0 Jan 03 Jul 03 Jan 04 Jul 04 Jan 05 Jul 05 Jan 06 Jul 06 Jan 07 Jul 07 Jan 08 Jul 08 Jan 09 Jul 09 Jan 10 Backlog units 50 0 Value/Units at Bottom of Bookings Cycle Slide 14 Value/Units 4 Quarters after Bottom of Bookings Cycle

Looking Ahead Slide 15

2010 semiconductor revenue growth forecasts by 3rd-party analysts keeps increasing: now at +18.4% 2010 Semiconductor revenue growth forecast over time 25% 20% Future Horizons SIA Semico 15% Dataquest IC Insights 10% WSTS isuppli VLSI Research 5% AVERAGE 0% Jan-09 Feb-09 Mar-09 Apr-09 May-09 Jun-09 Jul-09 Aug-09 Sep-09 Oct-09 Nov-09 Dec-09 Jan-10 Feb-10 Mar-10 Apr-10 May-10 Jun-10 Jul-10 Aug-10 Sep-10 Oct-10 Nov-10 Dec-10 Date of Forecast Slide 16 YoY Semiconductor revenue growth [%]

In opposition to 2001/02 downturn, IC inventories appear under control 25 20 15 10 5 3mma IC unit sales, inventory and inventory days monthly IC inventory based on 3mma IC ASPs 3mma IC unit sale 3mma IC unit sales corrected for inventory Inventory days (right axis) 120 100 80 60 40 20 - Jan-01 Jul-01 Jan-02 Jul-02 Jan-03 Jul-03 Jan-04 Jul-04 Jan-05 Jul-05 Jan-06 Jul-06 Jan-07 Jul-07 Jan-08 Jul-08 Jan-09 Jul-09 Jan-10 3mma IC unit sales / Inventory [Bio. Units] IC inventory [days] - Source: VLSI Research, WSTS, ASML Last data point: December 2009 Slide 17

WaferOutput[30mequiv.KWSM] Starts / Month [300mm equiv. KWspM] Memory factory utilizations high but due to 200 mm fab retirement, capacity is expected to be tight in 2010 2600 2400 2200 2000 1800 1600 1400 1200 1000 800 600 400 200 0 Slide 18 Memory WW Wafer Output Split by Wafer Size and Node [1Q07-4Q10] Strong Capacity Add Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Source: ASML Marketing (9/09) 6x & 5x Conversion 300mm utilisation & fab closures 200mm retirement 2007 2008 2009 2010 300mm including(7x nm- 2x nm) 200mm (including 9xnm and 8x nm) Estimate Immersion for 5x, 4x & 3x conversion

DRAMdemand&suply [Mio.GB] DRAMSuficiency [%] DRAM bit sufficiency analysis: At 47% bit demand growth market is slightly under-supplied during 2010 DRAM 700 600 DRAM Sufficiency Model: ASML's fcst-based Supply versus Gartner-based Demand ASML Modeled DRAM bit supply [Mio. GB] Gartner Forecast DRAM bit demand [Mio. GB] DRAM Sufficiency (ASML) 140% 120% 500 100% 400 80% 300 60% 200 40% 100 20% 0 1Q08 2Q08 3Q08 4Q08 1Q09 2Q09 3Q09 4Q09 1Q10 2Q10 3Q10 4Q10 0% Slide 19

Memory prices have recovered, driven by increased demand and limited supply MAIN DRAM SPOT & CONTRACT PRICES (01/2008-2010YTD) DRAM Chip ASP [$US] 2.8 2.4 2 1.6 1.2 1Gb DDR2 667 SPOT PRICE 1Gb DDR2 667 CONTRACT PRICE 1Gb DDR3 1066 SPOT PRICE 1Gb DDR3 1066 CONTRACT PRICE 300 mm cash-costs for 7x nm 1 Gb DRAM between 1.4 & 1.6 USD 300 mm cash-costs for 6x nm 1 Gb DRAM between 1.0 & 1.2 USD 1,5 US$ cash profit per DDR3 IC (60% GM, if made at 5x nm) 0.8 0.4 Jan-08 Feb-08 Mar-08 Apr-08 May-08 Jun-08 Jul-08 Aug-08 Sep-08 Oct-08 Nov-08 Dec-08 Jan-09 Feb-09 Mar-09 Apr-09 May-09 Jun-09 Jul-09 Aug-09 Sep-09 Oct-09 Nov-09 Dec-09 Jan-10 Feb-10 300 mm cash-costs for 5x nm 1 Gb DRAM between 0.8 & 0.9 USD Source: DRAM Exchange (2/2/2010) Slide 20

as a result, customer s profitability is rapidly improving, especially for those using leading-edge lithography tools 60% Operating Margins of main DRAM Manufacturers (Q1/06 - Q4/09) DRAM Most advanced prod. node in Q4/09: Operating Margin [% of Sales] 40% 20% 0% -20% -40% -60% -80% Q106 Q206 Q306 Q406 Q107 Q207 Q307 Q407 Q108 Q208 Q308 4Q08 1Q09 2Q09 3Q09 4Q09 4x nm 5x nm 5x nm 5x nm 6x nm 6x nm -100% -120% -140% Source: DRAMeXchange (2/10), ASML Marketing (Fab dbase) Slide 21

NANDdemand&suply [Mio.GB] NANDSuficiency [%] NAND bit sufficiency analysis: At 70% bit demand growth market is well balanced for 2010 NAND 4,000 3,500 3,000 NAND Sufficiency Model: ASML's fcst-based Supply versus Gartner-based Demand ASML Modeled NAND bit supply [Mio. GB] Gartner Forecast NAND bit demand [Mio. GB] NAND Sufficiency (ASML) 160% 140% 120% 2,500 100% 2,000 80% 1,500 60% 1,000 40% 500 20% 0 1Q08 2Q08 3Q08 4Q08 1Q09 2Q09 3Q09 4Q09 1Q10 2Q10 3Q10 4Q10 0% Slide 22

Memory Growth Summary DRAM In 2010 DRAM bit demand growth can be met by shrink towards 45 nm node requiring investments in immersion machines only Forecasted 2011 bit demand must be met with wafer capacity additions i.e. new wafer fabrication facilities requiring Immersion/ArF/ and i-line tools NAND In 2010 NAND bit demand growth can be met by shrink and fab fill out. Forecasted 2011 bit demand must be met with wafer capacity additions (new fab lines). Slide 23

ASML Systems: 300mm Memory Average number of systems required for new fab 3xnm NAND 4xnm DRAM ArF ArF ArF ArF ArF ArF 32 litho layers 37 litho layers 120k wafers/month 100k wafers/month Slide 24 All scenarios are based on typical process using 2009 XT system productivity levels. Customers process and wafer start requirements will vary.

ASML Systems: 300mm Logic Fab Average number of systems required for new fab 4xnm Logic 39 litho layers 45k wafers/month Slide 25 All scenarios are based on typical process using 2009 XT system productivity levels. Customers process and wafer start requirements will vary.

Summary ASML has gained required scale through market gains supporting required litho R&D investments ASML is leading in meeting the industry's shrink roadmaps Memory supply/demand close to balance in 2010. Bits growth supplied by shrink only Further memory bit supply growth in near future will come from wafer supply growth ie. new fabs Slide 26