EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

Similar documents
ECE 4305 Computer Architecture Lab #1

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

EE 1315: DIGITAL LOGIC LAB EE Dept, UMD

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

Xilinx Tutorial Basic Walk-through

Circuit design with configurable devices (FPGA)

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board)

To practice combinational logic on Logisim and Xilinx ISE tools. ...

University of Hawaii EE 361L. Getting Started with Spartan 3E Digilent Basys2 Board. Lab 4.1

The Alarm System: The alarm system to be designed has the following inputs.

Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu)

TLL5000 Electronic System Design Base Module

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Lab 6: Intro to FPGAs

Banks, Jasmine Elizabeth (2011) The Spartan 3E Tutorial 1 : Introduction to FPGA Programming, Version 1.0. [Tutorial Programme]

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010

Lab 1: Introduction to Verilog HDL and the Xilinx ISE

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16

Xilinx Project Navigator Reference Guide

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17

Boise State University Digital Systems Laboratory

Step 1: Downloading the source files

Programmable Logic Design I

Tutorial: Working with the Xilinx tools 14.4

CPLD Experiment 4. XOR and XNOR Gates with Applications

CECS LAB 1 Introduction to Xilinx EDA Tools

Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006)

Xilinx ISE Synthesis Tutorial

Introduction. About this tutorial. How to use this tutorial

Building Combinatorial Circuit Using Behavioral Modeling Lab

ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EEM Digital Systems II

The board is powered by the USB connection, so to turn it on or off you plug it in or unplug it, respectively.

ELEC 204 Digital System Design LABORATORY MANUAL

Tutorial on Quartus II Introduction Using Verilog Code

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation

Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5

1 Discussion. 2 Pre-Lab

Introduction to Nexys 2 board - Detour Signal Lab

PRELAB! Read the entire lab, and complete the prelab questions (Q1- Q3) on the answer sheet before coming to the laboratory.

4. Verify that HDL is selected as the Top-Level Source Type, and click Next. The New Project Wizard Device Properties page appears.

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008

Programming Xilinx SPARTAN 3 Board (Simulation through Implementation)

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i

VIVADO TUTORIAL- TIMING AND POWER ANALYSIS

Programmable Logic Design Techniques I

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent.

A B A+B

Programmable Logic Design I

XILINX WebPack -- testing with Nexys2 boards at USC (EE201L)

Xilinx Schematic Entry Tutorial

Using Synplify Pro, ISE and ModelSim

1. Downloading. 2. Installation and License Acquiring. Xilinx ISE Webpack + Project Setup Instructions

Revision: January 28, Henley Court Pullman, WA (509) Voice and Fax

Verilog Design Entry, Synthesis, and Behavioral Simulation

Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim. ver. 2.0

ELEC 4200 Lab#0 Tutorial

Tutorial on Quartus II Introduction Using Schematic Designs

UNIVERSITI MALAYSIA PERLIS

Digital Circuit Design Using Xilinx ISE Tools

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM

FPGA Introductory Tutorial: Part 1

EXPERIMENT 1. INTRODUCTION TO ALTERA

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack andisim. ver. 1.0

RTL and Technology Schematic Viewers Tutorial. UG685 (v13.1) March 1, 2011

SCHEMATIC DESIGN IN QUARTUS

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.5

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

EE 231 Fall Lab 1: Introduction to Verilog HDL and Altera IDE

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial

Guide ISE14. ISE 14.2 (Xilinx) Designflow 1/12

Chip Design with FPGA Design Tools

Laboratory #Intro to Xilinx ISE and CR-2 Kit ECE 332

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

Tutorial on FPGA Design Flow based on Aldec Active HDL. ver 1.7

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5

After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up.

Experiment 3. Digital Circuit Prototyping Using FPGAs

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

Tutorial on FPGA Design Flow based on Aldec Active HDL. ver 1.6

Laboratory of Digital Circuits Design: Design, Implementation and Simulation of Digital Circuits Using Programmable Devices

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date:

MANUAL XILINX ISE PROJECT NAVIGATOR

PlanAhead Software Tutorial

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

Copyright 2011 R.S.R. Electronics, Inc. All rights reserved. 04/11. Ver. 1.0web

Quartus II Introduction Using Verilog Design

LABORATORY # 6 * L A B M A N U A L. Datapath Components - Adders

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

Lab 1: FPGA Physical Layout

Engineering 1630 Fall Simulating XC9572XL s on the ENGN1630 CPLD-II Board

Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit

Transcription:

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD EXPERIMENT # 1: Logic building blocks The main objective of this experiment is to let you familiarize with the lab equipment and learn about the operation of the fundamental combinational logic elements, AND, OR, NAND, and NOR gates. You will also familiarize with the Field Programmable Gate Array (FPGA) prototyping board (Nexys-2) and the Xilinx software development environment that will be used for future experiments. You will also learn how to use the Xilinx ISE development environment and how to download the bit stream to the Digilent Nexys-2 board. Please note: 1) It is necessary for this and all subsequent labs that a flash drive be used to save your lab projects. It is suggested that a folder on your flash drive be created for the sole use of this lab and all projects for this semester be saved to that folder. 2) Steps 9-15 will be conducted every time that a project is downloaded to the FPGA. It may be useful to keep a copy of those instructions available to reference for a few weeks until you are used to programming the FPGA board. When developing with Xilinx ISE, some important information about the device being used (the prototype board) must be known. Look at the chip in the center of the board at your lab station (the FPGA chip). The information on the chip denotes the family, device, and package. Please find this information now. Family: Spartan 3E Device: XC3S500E Package: FG320 Step-by-Step Instruction You will now use the Xilinx ISE schematic tool to develop the four logic gates needed for this experiment. Step 1: Open the Xilinx ISE by clicking on the shortcut on the desktop. Step 2: Create a new project by choosing File->New Project. Give the project a name such as lab 1 (a more descriptive name could be used). The folder where the project is to be saved can also be chosen (somewhere on you flash drive). Click next. Step 3: A new project wizard screen will appear. Enter the values for the family, device, and package that are provided above. Leave the other values unchanged. Click next and then click finish on the following window.

Step 4: Right click on the device (can be seen highlighted here: ) and choose new source. In the window that appears, select the schematic type and give it a name. Click next and then finish. Step 5: You will then be presented with the screen seen in figure 1. Take a moment to mouse over the tools on the left of the lab1.sch and note their function. Click on the Add Symbol button. This will allow you to add a gate to your schematic. Figure 1: view of empty schematic lab1.sch Step 6: Notice to the right of the schematic area a symbol dialogue has appeared. Select Logic under the category. The lower dialogue now shows the gates available in the logic category. Start with a two input AND gate. Find the and2 and then click it to select (to speed up the selection, the symbol filter text box can be used to limit the choices in the lower window). Step 7: Drag your cursor over the schematic area. Notice there is now an AND gate following your cursor. Click to place it on the schematic. To place additional AND gates on the schematic, keep clicking. To stop placing AND gates press esc. Repeat this process to place one two input OR, NOR, and NAND (or2, nor2, and nand2 respectively). Step 8: It may be beneficial to zoom in closer to the gates that were just placed on the schematic, do this by using the zoom tools at the top of the schematic. Place I/O markers using the I/O tool. Place one marker on the output of each gate and one marker on each of the inputs of the gates. Each I/O marker can be renamed by right-clicking on it. Rename the output of the OR gate to z1, the output of the NOR gate to z2, the AND gate to z3, and the NAND gate to z4. Similarly rename one input of each gate to x and the other to y. You will get a warning window when you attempt to name a port with the same name as another. Xilinx will ask if you want to merge the ports. By selecting yes, it is like connecting a wire to the two terminals. Select yes for this lab. Your schematic should look similar to figure 2.

Figure 2: completed schematic Step 9: You will now generate the binary file and use it to program the FPGA board. Navigate to and expand the User Constraints option in the Design Tab (seen in figure 3). Double click on the I/O Pin Planning (PlanAhdead)-Pre-Synthesis item. A window indicating a User Constraint File (UCF) must be created will appear. Click yes. Figure 3: User Constraints Figure 4: I/O Port Menu Step 10: A new program (RTL Design) will start (be patient). Navigate to the scalar ports menu in the I/O Ports tab. This can be seen in figure 4. Select x and set the site to G18. Click Apply. Continue by setting y to H18, z1 to J14, z2 to J15, z3 to K15, and z4 to K14. The Ports should be defined as seen in figure 5. If everything looks correct click File- >Save Project. Figure 5: Ports with assigned locations Note: In future labs a table as seen in figure 5a will be provided to aid in assigning Scalar Ports to the proper Site on the board.

Inputs Outputs Scalar Port X Y Z1 Z2 Z3 Z4 Site G18 H18 J14 J15 K15 K14 I/O SW0 SW1 LD0 LD1 LD2 LD3 Figure 5a: Scalar Port assignment table Step 11: Navigate back to the Xilinx ISE. Under the User Constraints item you just expanded, you will find Synthesize-XST, double click or right click and select run. Now double click the Implement Design found under the Synthesize-XST. It is usual for these operations to take some time. If the operations are successful, you will see green check marks appear next to the items. If a red X appears next to either of the items, there was an error, please let your TA know. Step 12: The clock needs to be set before generating the final bit file. Right click on Generate Programming File and select Process Properties. Select Startup Options and change the FPGA-Start-Up Clock to JTAG Clock as seen in figure 6. Click Apply and OK. Figure 6: Setting the JTAG Clock Step 13: Now double click Generate Programming File. If a green check mark appears, you have successfully generated a bit file to program the FPGA with. This file will automatically be saved in the directory where your project is saved. Step 14: Make sure that the Nexsys-2 board is turned ON. Double click on the Adept icon on the desktop. It should show the window seen in figure 7. Note that Adept automatically recognizes the board. If an error is received, check you connections and click Initialize Chain to attempt to re-connect to the board.

Figure 7: Adept window Step 15: Click the Browse... button on the FPGA row and find the bit file you generated (lab1.bit in this case). Click the Program button. A progress bar should grow at the bottom of the window. When the message programming successful appears, the FPGA has been successfully programmed.

EXPERIMENT #1 RESULTS (Print this page and bring to lab for TA to sign) The FPGA has now been configured to see a down slide switch as a 0 and an up slide switch as a 1. In addition the outputs are seen by the LEDs above the slide switches with an off state indicating a 0 and an on state indicating a 1. This can be seen in Figure 8. Please show the TA the working circuit and complete the table and questions below. Witnessed by : Figure 8: I/O for lab #1 Date Recorded Truth Table x y z1 (OR) z2 (NOR) z3 (AND) z4 (NAND) IC#: IC#: IC#: IC#: 0 0 0 1 1 0 1 1 1. Which pairs of gates have inverting relations according to the truth table above? 2. Write an algebraic equation for each type of gate using the inputs x and y (refer to the web pages of the pin-outs, or textbook, or ask TA) OR: NOR: AND: NAND: