Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE.

Similar documents
Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006)

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810

Xilinx ISE Synthesis Tutorial

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17

Banks, Jasmine Elizabeth (2011) The Spartan 3E Tutorial 1 : Introduction to FPGA Programming, Version 1.0. [Tutorial Programme]

Programming Xilinx SPARTAN 3 Board (Simulation through Implementation)

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board)

4. Verify that HDL is selected as the Top-Level Source Type, and click Next. The New Project Wizard Device Properties page appears.

Circuit design with configurable devices (FPGA)

ECE 491 Laboratory 1 Introducing FPGA Design with Verilog September 6, 2004

Chip Design with FPGA Design Tools

Lab 6: Intro to FPGAs

Getting Started with Xilinx WebPack 13.1

CCE 3202 Advanced Digital System Design

Introduction. About this tutorial. How to use this tutorial

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

ISE Design Suite Software Manuals and Help

Nexys 2 board tutorial (Decoder, ISE 12.2) Jim Duckworth, August 2010, WPI. Digilent Adept Programming Steps added by Zoe (Zhu Fu)

PALMiCE FPGA Probing Function User's Manual

The board is powered by the USB connection, so to turn it on or off you plug it in or unplug it, respectively.

Xilinx Project Navigator Reference Guide

Xilinx ISE Simulation Tutorial

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

TLL5000 Electronic System Design Base Module

Building Combinatorial Circuit Using Behavioral Modeling Lab

Project 1a: Hello World!

Lab 1: Introduction to Verilog HDL and the Xilinx ISE

MANUAL XILINX ISE PROJECT NAVIGATOR

SOPC LAB1. I. Introduction. II. Lab contents. 4-bit count up counter. Advanced VLSI Due Wednesday, 01/08/2003

Laboratory #Intro to Xilinx ISE and CR-2 Kit ECE 332

Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit

CCE 3202 Advanced Digital System Design

Getting started with the Xilinx Project Navigator and the Digilent BASYS 2 board.

Hardware Synthesis. References

VIVADO TUTORIAL- TIMING AND POWER ANALYSIS

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

ELEC 204 Digital System Design LABORATORY MANUAL

ML605 PCIe x8 Gen1 Design Creation

Experiment 8 Introduction to VHDL

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB

Using Synplify Pro, ISE and ModelSim

FPGA Design Flow 1. All About FPGA

FPGA Design Flow. - from HDL to physical implementation - Victor Andrei. Kirchhoff-Institut für Physik (KIP) Ruprecht-Karls-Universität Heidelberg

TUTORIAL On USING XILINX ISE FOUNDATION DESIGN TOOLS: Mixing VHDL and Schematics

Vivado Walkthrough ECGR Fall 2015

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.5

Configuring the Xilinx Spartan-6 LX9 MicroBoard

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

Boolean Logic CS.352.F12

DESIGN STRATEGIES & TOOLS UTILIZED

Field Programmable Gate Array

Tutorial on Simulation using Aldec Active-HDL Ver 1.0

Experiment 3 Introduction to Verilog Programming using Quartus II software Prepared by: Eng. Shatha Awawdeh, Eng.Eman Abu_Zaitoun

ML605 PCIe x8 Gen1 Design Creation

XILINX ISE AND SPARTAN 3AN TUTORIAL

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

XILINX WebPack -- testing with Nexys2 boards at USC (EE201L)

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

Adding Custom IP to the System

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.3

Introduction to Verilog. Mitch Trope EECS 240 Spring 2004

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i

Verilog Design Entry, Synthesis, and Behavioral Simulation

Tutorial - Using Xilinx System Generator 14.6 for Co-Simulation on Digilent NEXYS3 (Spartan-6) Board

Using the Xilinx CORE Generator in Foundation ISE 3.1i with ModelSim

ECE 4305 Computer Architecture Lab #1

Chapter 2 Getting Hands on Altera Quartus II Software

Introduction to Xilinx Vivado tools

Tutorial for Altera DE1 and Quartus II

Using XILINX WebPACK Software to Create CPLD Designs

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

Quick Front-to-Back Overview Tutorial

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

COS/ELE 375 Verilog & Design Tools Tutorial

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Generating Parameterized Modules and IP Cores

Lab 3. Advanced VHDL

DESIGN AND IMPLEMENTATION OF MOD-6 SYNCHRONOUS COUNTER USING VHDL

CPLD Experiment 4. XOR and XNOR Gates with Applications

Guide ISE14. ISE 14.2 (Xilinx) Designflow 1/12

Xilinx Tutorial Basic Walk-through

ECT 224: Digital Computer Fundamentals Using Xilinx StateCAD

Converting Hardware Interface Layer (HIL) v1.x Projects to v2.0

What is Xilinx Design Language?

Advanced module: Video en/decoder on Virtex 5

Adding the ILA Core to an Existing Design Lab

FPGA Design Tutorial

PlanAhead Software Tutorial

RTL Design and IP Generation Tutorial. PlanAhead Design Tool

ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EEM Digital Systems II

To practice combinational logic on Logisim and Xilinx ISE tools. ...

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

ChipScope Demo Instructions

Verilog Simulation Mapping

Transcription:

Board-Data Processing VHDL Exercises Exercise 1: Basics of VHDL Programming Stages of the Development process using FPGA s in Xilinx ISE.

Basics of VHDL VHDL (Very High Speed IC Hardware description Language) is one of the standard hardware description language used to design digital systems.

Structure of VHDL code Library Declaration Entity Architecture process process process

Structure of VHDL code Library Declaration library IEEE; use IEEE.STD_LOGIC_1164.ALL; STANDARD: Contains all basic declarations and definitions of language constructs and it is included in all VHDL specifications by default. STD_LOGIC_1164: This package is not a part of the VHDL Standard but is a standard on its own; it contains the most often used language extensions. TEXTIO: Contains declarations of basic operations on texts.

Structure of VHDL code Entity Defines the interface of the design Interface entity ANDGATE is port ( A : in STD_LOGIC; B : in STD_LOGIC; O : out STD_LOGIC); end ANDGATE

Architecture Describes the functionality of the design i.e transforming input data into output results. Functionality architecture Behavioral of ANDGATE is begin O <= A and B; end Behavioral;

Example1 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity ANDGATE is port ( A : in STD_LOGIC; B : in STD_LOGIC; O : out STD_LOGIC); end ANDGATE architecture Behavioral of ANDGATE is begin O <= A and B; end Behavioral;

Signals

Signals

Signals

Signals

Signals :...:. : : :

Stages of the Development of a Digital process in FPGA s

Design Entry Design Constraints Simulation Synthesis Design Library Place & Route Download

Design Entry -> Writing the VHDL or VERILOG code

Simulation -> Identify Syntax and logic errors

-> Generate Netlist Synthesis

Place & Route -> Map, Place & Route and generate the binary file

Download -> Flash the binary file on the FPGA development board

FPGAs Kit and Development Enviroment

How to Start the Hardware Development in FPGA

1.Open the Xilinx ISE project Navigator

2. Create a New Project and save it to appropriate location and please note that don t use spaces in the destination of the project

3. Give the name of the project and click Next.

4. Select the Family, Device, Package, Simulator and Preferred Language as shown below.

5. Click Finish.

6. Now you have to Add a new vhdl file to write the code

7.Right Click the on the name of the device.

4. Select the VHDL module as Source type and name the VHDL file

8. Click Next

9. Open the VHDL file in the editor.

10. You can write your VHDL code here.

11. Write click on Synthesis option and select run.

12.If you have some error in your VHDL code it will be Red otherwise green.

13. Synthesis Process is Finished.

14. Now Pin Assignment has to be done so Click on FloorPlanning

15. Click Yes and Wait, It takes some time to open the window for floor planning (Pin Assignment)

16.Don t update the software so press Exit button to cancel the update.

17. This is place where you will map your I/O s to the actual hardware

18. Click the I/O ports Tab

19. Select the Appropriate Pins for each of the Port Signal from the Site Column by double clicking it corresponding to the Signal.

20a. When you are done with the pin Assignment, Click to save the changes and switch to the ISE design Software.

20b. Now right click on the Implement design and click run to Translate, Map, Place and Route

21. When the design is implemented successfully it will be shown up green as follows.

20. Now you have to Generate the programming File so right click on it and run.

22. If this process is successfully completed then you will have a.bit file in your project directory which will downloaded on the FPGA kit.

22. Now it s the turn of the downloading procedure so double click on Configure Target Device.

23. Double Click on Boundary Scan.

24a. Follow the instruction on the white window and select the Initialize Chain option.

24b. If board is connected and Switched On then you will have the following output

25. Now select the bit file and click open.

We are not using PROMS so click No.

26a. Click Bypass

26b.Click Ok.

27a. Right Click on the device, It will be turned Green, Now select Program.

27b.You will see a red light flashing and status bar.

28. If the program is successfully downloaded on the board then the output will appear as shown below.