Network simulation with. Davide Quaglia

Similar documents
NES Simulation with SCNSL. Alex Malfatti, Davide Quaglia

Toolchain for Network Synthesis. Alex Malfatti, Davide Quaglia

Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005

OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel

Wireless and WiFi. Daniel Zappala. CS 460 Computer Networking Brigham Young University

ECE 158A: Lecture 13. Fall 2015

A Novel Approach for Network on Chip Emulation

Hardware Design and Simulation for Verification

CS263: Wireless Communications and Sensor Networks

Outline. A Professional Company in Software-Defined Networking (SDN) Copyright , EstiNet Technologies Inc. All Rights Reserved..

More on LANs. Roadmap. CPSC Network Programming. ! Hardware Addressing. !LAN Wiring. ! Extending LANs. ! Local Loop Digital Technologies

PCIe Verification in a SystemC environment using the Cadence VIP

Early Models in Silicon with SystemC synthesis

Introduction. High Speed LANs. Emergence of High-Speed LANs. Characteristics of High Speed LANS. Text ch. 6, High-Speed Networks and

OSI Data Link Layer. Network Fundamentals Chapter 7. Version Cisco Systems, Inc. All rights reserved. Cisco Public 1

Zigbee protocol stack overview

Chapter 6 Connecting Device

Redes de Computadores. Medium Access Control

EECS Introduction to Computer Networking. Local Area Networks / Ethernet. Hub

CoFluent Design FPGA. SoC FPGA. Embedded. Systems. HW/SW

Gerhard Noessing, Villach

Data Link Layer -2- Network Access

EITF25 Internet Techniques and Applications L4: Network Access. Stefan Höst

Guide to Wireless Communications, 3 rd Edition. Objectives

What is needed on top of TLM-2 for bigger Systems?

ZigBee----free as a bee!

Embedded System Design and Modeling EE382V, Fall 2008

Wireless Sensor Networks

Modeling Energy Consumption of Wireless Sensor Networks by SystemC

Data Link Layer -2- Network Access

Message acknowledgement and an optional beacon. Channel Access is via Carrier Sense Multiple Access with

Chapter 4. The Medium Access Control Sublayer

Temperature Sensor TMP2 PMOD Part 1

High Level Synthesis Re-usable model of AMBA AXI4 communication protocol for HLS based design flow developed using SystemC Synthesis subset

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network

Design methodology for multi processor systems design on regular platforms

DISTRIBUTED EMBEDDED ARCHITECTURES

The Medium Access Control Sublayer

CHAPTER 3: LITERATURE REVIEW 3.1 NEED FOR SIMULATION ENVIRONMENT IN WSN

The OSI Model. Open Systems Interconnection (OSI). Developed by the International Organization for Standardization (ISO).

Chapter 5: Link layer

ET4254 Communications and Networking 1

Davide Quaglia Assistant CS depart University of Verona, Italy

Dr./ Ahmed Mohamed Rabie Sayed

System On Chip: Design & Modelling (SOC/DAM) 1 R: Verilog RTL Design with examples.

Link Layer and Ethernet

Link Layer and Ethernet

Topics. Link Layer Services (more) Link Layer Services LECTURE 5 MULTIPLE ACCESS AND LOCAL AREA NETWORKS. flow control: error detection:

Module 16: Distributed System Structures

Embedded Systems: Hardware Components (part II) Todor Stefanov

Chapter 4. The Medium Access Control Sublayer. Points and Questions to Consider. Multiple Access Protocols. The Channel Allocation Problem.

4 th European SystemC Users Group Meeting

Amarjeet Singh. February 7, 2012

Chapter 7. IEEE ZigBee. Liang Zhao, Andreas Timm-Giel

CIP over 6LoWPAN. Technical Track. Prepared by Dayin Xu, Paul Brooks, Yi Yu, David Brandt Presented by Paul Brooks.

An introduction to CoCentric

Module 15: Network Structures

Modular SystemC. In-house Training Options. For further information contact your local Doulos Sales Office.

Study of Smart Home System based on Zigbee Wireless Sensor System. Jie Huang 1

Today. Last Time. Motivation. CAN Bus. More about CAN. What is CAN?

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER

Wireless Personal Area Networks (WPANs) Wireless PAN

Chapter 15 Local Area Network Overview

Platform-based Design

Networking midterm. 5. As a data unit moves up from one protocol layer to another, control headers are:

LAN PROTOCOLS. Beulah A AP/CSE

The Emergence of Networking Abstractions and Techniques in TinyOS

Medium Access Control Sublayer Chapter 4

Principles behind data link layer services

The Link Layer and LANs. Chapter 6: Link layer and LANs

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver

Outline. Introduction to Networked Embedded Systems - Embedded systems Networked embedded systems Embedded Internet - Network properties

Packet Sniffer for the Physical Layer of the Single Wire Protocol

Chapter 10: Local Area Networks

Topics. Introduction Architecture Node Types Network Topologies Traffic Modes Frame Format Applications Conclusion

Principles behind data link layer services:

Types of Computer Networks. ICS 614: Computer Networks Concepts and Principles 11

Telematics. 5rd Tutorial - LLC vs. MAC, HDLC, Flow Control, E2E-Arguments

Data Link Layer: Overview, operations

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc.

CMPE 150/L : Introduction to Computer Networks. Chen Qian Computer Engineering UCSC Baskin Engineering Lecture 16

A Performance Comparison of Multi-Hop Wireless Ad Hoc Network Routing Protocols. Broch et al Presented by Brian Card

A Meta-Modeling-Based Approach for Automatic Generation of Fault- Injection Processes

ZIGBEE. Erkan Ünal CSE 401 SPECIAL TOPICS IN COMPUTER NETWORKS

WSN NETWORK ARCHITECTURES AND PROTOCOL STACK

Delivering Voice over IEEE WLAN Networks

I2C TM Master Library Module (Polled)

Chapter 1 Basic concepts of wireless data networks (cont d)

COMPUTER NETWORKS - Local area networks

Local area networks. Copyright

EE 4755 Digital Design Using Hardware Description Languages

Wireless# Guide to Wireless Communications. Objectives

Wireless Sensor Networks

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

1. Data Link Layer Protocols

Ethernet Remote Master (ERM)

What do we expect from Wireless in the Factory?

Link Layer and LANs. CMPS 4750/6750: Computer Networks

Transcription:

Network simulation with SystemC Davide Quaglia

Outline Motivation Architecture Experimental results Advantages of the proposed framework 2

Motivation Network Networked Embedded Systems Design of Networked Embedded Systems (smartphones, routers, wireless sensor networks) Network (protocols and channels) may be a design-space dimension (e.g., Networked Control Systems) System and Network may be reciprocally affected during design Pure network simulators are not well integrated with EDA tools 3

SystemC Network Simulation Library (SCNSL) Let s change the scale! Network interface I/O interface ASIC CPU Memory bus Packet-based Network SystemC model of a System on Chip SystemC model of several networked embedded systems AND the network among them 4

Problems to be solved Packet-based simulation in order to be fast Packets are not Signals (RTL) or Payloads (TLM) Variable size Variable formats (during simulation!) Network simulation requires that setup can change at simulation time (binding is not enough) Traffic activation/de-activation Node mobility Channel failure Efficient way to handle collisions on the channel 5

Architecture User domain Description of the Embedded Systems Description of the Network Scenario New library SystemC Network Simulation Library: packet and channel model Legacy libraries SystemC primitives: module, process, port, event, simulation engine C++ 6

User domain Description of the Embedded Systems Traditional description of behavior and communication between intra-node components Description of the Network Scenario Architecture New library SystemC Network Simulation Library: packet and channel model Legacy libraries SystemC primitives: module, process, port, event, simulation engine C++ 7

User domain Description of the Embedded Systems Architecture Packets transmission & reception, carrier Description sense of the Network Scenario New library SystemC Network Simulation Library: packet and channel model Legacy libraries SystemC primitives: module, process, port, event, simulation engine C++ 8

User domain Description of the Embedded Systems Architecture - Topology definition - Traffic sources - Scenario Description changes of the at simulation Network time Scenario New library SystemC Network Simulation Library: packet and channel model Legacy libraries SystemC primitives: module, process, port, event, simulation engine C++ 9

Architecture User domain New library Description of the - Binding Embedded of network Systems components - Mapping of network events onto SystemC events Description of the Network Scenario SystemC Network Simulation Library: packet and channel model Legacy libraries SystemC primitives: module, process, port, event, simulation engine C++ 10

Architecture User domain Description of the Embedded Systems Description of the Network Scenario New library SystemC Network Simulation Basic Library: data packet and channel model structures Legacy libraries SystemC primitives: module, process, port, event, simulation engine C++ 11

SCNSL components 12

Simulation flow Network scenario Task implementation Header files of the simulator and SystemC Simulator library SystemC library sc_main() in main.cc MyTask.cc MyTask.hh *.hh Compilation process Linking Executable 13

Simulation flow (2) Input data Executable Log file Statistics Command line parameters 14

Provided objects Task Interfaces for TLM and RTL custom tasks Traffic sources (CBR, bursty traffic, etc.) Node Protocol Channel Simple link Full duplex link Shared Delayed shared To model wired or abstract channels To model wireless channels 15

Experimental setup Wireless sensor network 1 master requesting temperature to N-1 slaves IEEE 802.15.4 MAC (the base for ZigBee) Peer un-slotted communication with ack Description of the node at different abstraction levels TLM (Approximate Timing) 633 lines of code RTL 688 lines sc_main() 172 lines Comparison with a well-known network simulator (NS-2) 16

Experimental results CPU time for the simulation as a function of the number of wireless sensor nodes. Uniix time command (system+user) 17

Experimental results Simulation of all nodes at TLM is x100 faster than NS-2 18

Experimental results Simulation of all nodes at RTL is slower than NS-2 as expected 19

Experimental results Simulation of one node at RTL and the others at TLM is as fast as NS-2 with the advantage of a higher system accuracy Fine-tuning between speed and accuracy 20

Advantages Direct use of SystemC models in network simulation reusability Single simulation tool (no need of System/Network co- simulation) fast Direct support of tools from EDA ecosystem SystemC TLM 2.0 SystemC Verification Library SystemC Analog & Mixed Signals (AMS) Debugging tools Analysis tools Synthesis 21

Advantages (cont.) Fruitful integration of Network design & simulation in the traditional design flow Open-source (LGPL) project on SourceForge Repository with versioning Bug tracking facility Wiki Mailing lists 22