High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Similar documents
Interposer Technology: Past, Now, and Future

Introduction. SK hynix

Bringing 3D Integration to Packaging Mainstream

Multi-Die Packaging How Ready Are We?

Start Your HBM/2.5D Design Today

Advancing high performance heterogeneous integration through die stacking

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

Xilinx SSI Technology Concept to Silicon Development Overview

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

Advanced Packaging For Mobile and Growth Products

Stacked Silicon Interconnect Technology (SSIT)

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

TechSearch International, Inc.

All Programmable: from Silicon to System

TechSearch International, Inc.

TSV Test. Marc Loranger Director of Test Technologies Nov 11 th 2009, Seoul Korea

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

High performance HBM Known Good Stack Testing

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Verification of Singulated HBM2 stacks with Die Level Handler. Dave Armstrong Toshiyuki Kiyokawa Quay Nhin

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

TechSearch International, Inc.

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

Packaging Innovation for our Application Driven World

HEAT (Hardware enabled Algorithmic tester) for 2.5D HBM Solution

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

2.5D FPGA-HBM Integration Challenges

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

3-D Package Integration Enabling Technologies

Advanced Heterogeneous Solutions for System Integration

Non-contact Test at Advanced Process Nodes

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

Panel Discussion Chair: Michael Huebner

Supercharge Your Applications with Samsung High Bandwidth Memory

High Performance Memory in FPGAs

Packaging Technology for Image-Processing LSI

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

The Evolution of Multi-Chip Packaging: from MCMs to 2.5/3D to Photonics. David McCann November 14, 2016

SMAFTI Package Technology Features Wide-Band and Large-Capacity Memory

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Future Memories. Jim Handy OBJECTIVE ANALYSIS

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

BREAKING THE MEMORY WALL

Impact of DFT Techniques on Wafer Probe

3DIC & TSV interconnects

Introduction to Wafer Level Burn-In. William R. Mann General Chairman Southwest Test Workshop

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

HES-7 ASIC Prototyping

November 11, 2009 Chang Kim ( 김창식 )

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

There is a paradigm shift in semiconductor industry towards 2.5D and 3D integration of heterogeneous parts to build complex systems.

IC Testing and Development in Semiconductor Area

IMEC CORE CMOS P. MARCHAL

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

PSMC Roadmap For Integrated Photonics Manufacturing

Low Power & High Bandwidth Memory Trend

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

Chapter 0 Introduction

SEMI 大半导体产业网 MEMS Packaging Technology Trend

The Road to the AMD. Fiji GPU. Featuring Die Stacking and HBM Technology 1 THE ROAD TO THE AMD FIJI GPU ECTC 2016 MAY 2015

Probing 25µm-diameter micro-bumps for Wide-I/O 3D SICs

SiP Catalyst for Innovation. SWDFT Conference Calvin Cheung ASE Group

VLSI IMPLEMENTATION OF L2 MEMORY DESIGN FOR 3-D INTEGRATION G.Sri Harsha* 1, S.Anjaneeyulu 2

Additional Slides for Lecture 17. EE 271 Lecture 17

MediaTek Overview AI/5G-enabled Systems Test Challenges Systems Orientation New Opportunities

The 3D-Memory Evolution

Developed Hybrid Memory System for New SoC. -Why choose Wide I/O?

3D & Advanced Packaging

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

VISUALIZING THE PACKAGING ROADMAP

IEEE/EPS Chapter Lecture in the Silicon Valley Area Fan-Out Wafer-Level Packaging for 3D IC Heterogeneous Integration

inemi Roadmap Packaging and Component Substrates TWG

Technology Platform Segmentation

AMD Radeon Vega Frontier Edition

Test and Measurement Challenges for 3D IC Development. R. Robertazzi IBM Research

Beyond Moore. Beyond Programmable Logic.

Kevin Donnelly, General Manager, Memory and Interface Division

High Performance Memory Opportunities in 2.5D Network Flow Processors

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

September 13, 2016 Keynote

A Design Tradeoff Study with Monolithic 3D Integration

Thermal Considerations in Package Stacking and Advanced Module Technology

Stacking Untested Wafers to Improve Yield. The 3D Enigma

Hybrid Memory Cube (HMC)

Burn-in & Test Socket Workshop

A Building Block 3D System with Inductive-Coupling Through Chip Interfaces Hiroki Matsutani Keio University, Japan

N E W S R E L E A S E

Expanding IEEE Std Boundary-Scan Architecture Beyond Manufacturing Test of Printed Circuit Board Assembly

Transcription:

Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

Open-Silicon Asim Salim VP Mfg. Operations 20+ experience in semiconductor operations engineering (product engineering, device physics, reliability, supply chain) Early adopter of SiPs shipped >5M mobile graphics processors with DDR KGD memories in 1999 2 Providing System-Optimized ASIC Solutions

The Need for 2.5D Integration with HBM (High Bandwidth Memories) Why 2.5D Has a Future Memory Wall CPU performance is increasing 4x-8x compared to memory performance (processor can be idle for 50-75% idle times) Power is a big issue wide-io to reduce frequency lower power IO space is limited Integrate to reduce size Memory cannot become wider Faster data USB, PCIe, SATA, all are faster now Multiple interfaces vie for same DRAM Larger on-chip storage is needed (video/graphics is the biggest contributor) High Bandwidth Memory IP In-house design (Controller/PHY/IO) Leveraging 2.5D die2die channel experience from Avatar e.g. on Interposer interconnect electricals, ESD, DfT, etc. CMOS IO driver, 1GHz/2Gbps DDR with light output loading Electrically compatible with JEDEC HBM2 DRAM spec TSMC 16nm implementation 3 Providing System-Optimized ASIC Solutions

HBM Applications & Comparison Data Centers Networking Bus Width (per chip) DDR4 GDDR5 HBM2 16-bit 32-bit 1024-bit Clock Speed 3.2Gbps 7Gbps 2.0Gbps Bandwidth (per chip) Power Efficiency (Gbits per sec/pin) 6.4Gbytes 28Gbytes 256Gbytes 1mW - 0.33mW Voltage 1.2V 1.35V, 1.5V 1.2V Package Type Discrete Discrete Si-Interposer DDR3 X44 bigger Artificial Intelligence Cloud Computing AR and VR Neural Networks Massive Space Saving DDR4 X37 bigger GDDR5 X20 bigger HBM X1 Area to scale 4 Providing System-Optimized ASIC Solutions

Major Advantages of 2.5D + HBM High Performance/Bandwidth Accelerate memory access, reduce processor idle times Lower Power HBM uses wide IO s that clock at lower frequencies and result in lower power consumption (~40% reduction) 2.5D memory placement is very close the core die (~70um vs. 2 cm. on a PCB) Smaller IO drivers are needed which reduce IO power Smaller Footprint due to integration / high packing density <50um micro-bump pitch for HBM memories can be accommodated on the silicon interposer providing high routing density (>5000 ubumps) Substantially smaller than DDR4/GDDR5 Capable of signaling up to 2Gbps DDR between 4-5mm trace lengths 5 Providing System-Optimized ASIC Solutions

2.5D System 2.5D System Involves Mounting 2 or more Silicon DIEs onto an Interface DIE. Assembling the whole system into a single package. DIE1 DIE2 Al pad layer Micro bump 2.5D System for High Performance Computing, Graphics, Network Applications Back-side bumps INTERFACE DIE Micro bump pad layer Interface routing TSV 2.5D Systems Enable PACKAGE SUBSTRATE Cost Reduction Lower Area Higher Bandwidth Lower Power Improved Yield due to Lower Area Heterogenous Integration etc Package bumps 6 Providing System-Optimized ASIC Solutions

Avatar 2.5D Solution Demonstration First ASIC vendor to bring 2.5D to prototype silicon (Avatar). Awarded best chip design at ARM TechCon 2013, Santa Clara Avatar logic die 2 dies of an ARM dual-core A9 SoC on 28nm process SysC Modeling Demo Board Hardware and software development TSV Si Interposer 65-nm 4 front-side, 1 back-side metal interposer P & R,GDSII RTL design, Verification Package Assembly High Level Goals Assemble 2 die on interposer, and placement on FlipChip package substrate Develop a complete 2.5D KGD solution Demonstrate reusable portfolio of verified silicon dies with a higher level of chip abstraction Custom die-to-die IO Compact, 2Gbps signalling, fit under ubump, Reliability testing Thermal, Mechanical 7 Providing System-Optimized ASIC Solutions

Components & Stakeholders Involved ASIC DIE HBM2 PHY & I/O HBM2 Controller Manufacturing at a Foundry Micro-Bumping (Foundaries like TSMC, GF etc or OSATs like ASE, Amkor etc) HBM2 MEMORY DIE SK Hynix, Samsung etc ASIC DIE with Controller & HBM PHY HBM2 Memory DIE(s) Interposer DIE Foundries like TSMC, GF, UMC etc Back-grinding and exposing TSVs, solder bumping (OSATs) Package Substrate Assembly Assembly OSATs (e.g. ASE) COWOS (TSMC) Interface /Interposer Channel DIE Package Substrate 8 Providing System-Optimized ASIC Solutions

HBM2 Memory Stacked DRAM with a base die 4H, 8H stack options Up to 8GB memory density 8 independent channels to interface Wide interface architecture for low power and high speed operation Each channel has 128b data bus IO voltage is 1.2V Unterminated data/address/cmd/clk interfaces DRAM core voltage is 1.2V independent of IO voltage Base die has micro-bumps to connect to interface ~ 700 um high HBM2 targets 2Gbps data rates and higher 256 GBps bandwidth possible with 8H HBM2 9 Providing System-Optimized ASIC Solutions 4H HBM DIE Channel G 8H HBM DIE Channel G Channel H Channel H Buffer/Base DIE Buffer/Base DIE 4 HBM dies with 2 channels per DIE 2 X 4HBM dies with 2 channels per DIE

ASIC Die: HBM2 Specific 2.5D System Connections 8 channels to interface through micro-bumps Micro-bumps at 55 um X 48 um pitch Interleaved channels Each channel has 212 signals Test access signals common to 8 channels Reset, temp, cattrip signals common All signals and power/gnd connections made using routing layers on interface DIE (interposer) HBM2 MEMORY ASIC 10 Providing System-Optimized ASIC Solutions

ASIC Die and Interposer: 2.5D Requirements: Bump Design Micro-bump rules guided by bumping scheme OSAT or Foundry Memory bumping scheme set by JEDEC PHY bump scheme guided by memory bumps Bump scheme to consider probe placement Back-side bumping on interposer for ASIC pwr/gnd Other ASIC interfaces Memory pwr/gnd Memory direct access Back-side bumping rules by assembly house Assignment to consider Power delivery efficiency Signal integrity TSV pitch guided by foundry 11 Providing System-Optimized ASIC Solutions

2.5D Package Assembly Flow (Typical) Interposer Manufacture Fab, 65nm process Additional TSV processes Interposer Preparation Backgrind to 75-100um Additional temporary bonding/de-bonding processes for carrier Package Assembly Interposer attach to substrate Die(s) attach to interposer 40-60um pitch 12 Providing System-Optimized ASIC Solutions

2.5D System: Test / Fault Coverage Challenges Low DPPM - >> Low System Level Fallout is Essential for Expensive Systems Low DPPM/High System Test Yields Drives High Test Coverage /Quality (KGD) of all Components of the 2.5D System DFT / Plan for 2.5D Manufacturing 13 Providing System-Optimized ASIC Solutions

Test Coverage / KGD Plan for High System Yield/Low DPPM ASIC Die High speed probe testing (sacrificial probe test pads) Direct dock testing (at-speed) DFT with 98%+ fault coverage Interposer Test structures Physical Wafer coplanarity, optical surface inspections, TSV depth Electrical TSV leakage, metal pattern, via chain tests HBM Memory KGD + wafer level burn-in (supplier proprietary) IEEE debug bus access Substrate Electrical tests Optical inspections 14 Providing System-Optimized ASIC Solutions

2.5D Test Flow Wafer Sort Assembly (CoW) Partial Assy Final Test Assembly (HBM) Final Test 15 Providing System-Optimized ASIC Solutions

Open-Silicon s Probing Scheme for 2.5D HBM2 ASIC SiP Signal ubump Gnd ubump Power ubump Gnd Probe- Pad Power Probe- Pad Testability feature deployed through probing mechanism for debug of ASIC SiPs with HBM memory embedded on a 2.5D interposer die 16 Providing System-Optimized ASIC Solutions

2.5D HBM2 ASIC SiP Validation/Evaluation Platform Reference Board Design 17 Providing System-Optimized ASIC Solutions

Open-Silicon s 2.5D HBM2 ASIC SiP for Silicon Validation Parameters ASIC Technology 16nm Interposer Technology :65nm SiP Process :CoWoS (2.5D) HBM2 Memory Open-Silicon HBM2 IP Subsystem Core Voltage: 0.8V I/O Voltage : 1.2V This Platform Demonstrates Open-Silicon HBM2 IP Subsystem HBM2 Die-Stack Interoperability 18 Providing System-Optimized ASIC Solutions

Full Turnkey HBM2-Based 2.5D System Solution 2.5D HBM2 ASIC Custom ASIC Logic HBM2 IP Subsystem 2.5D Interposer Design Testing the Overall System & Supply Chain HBM2 Memory Memory Vendors Manufacturing/Assembly Foundries and OSATs 19 Providing System-Optimized ASIC Solutions

2.5D HBM2 SiP Implementation Challenges PHY Scalable Architecture Testing the Overall System Physical Design Challenges Integrating Overall HBM IP Subsystem Managing Custom Die-2-Die I/Os 2.5D Interposer Design 20 Providing System-Optimized ASIC Solutions

2.5D HBM2 SiP Manufacturing Challenges Foundries Microbumping, > Interposers exceeding reticle size (XL) Reliability Cost Interposer Foundries Keep costs low Optimize number of metal layers Integrate passives Test structures/dft OSATs Low ESD environment Wafer level processing techniques Large stacked package reliability Costs Semi s IP Houses Standard. Committees New additions and requirements (D2D IOs, ESD) new players KGD Vendors Standards across suppliers on test/dft, delivery metrics Heterogeneous integration DFT/Test Probing microbumps Testing out at many different levels High-speed testing at probe (direct dock) EDA Integrated tools connecting core die to interposer, package substrates 21 Providing System-Optimized ASIC Solutions

Building the Ecosystem Foundries Interposer OSAT Semi s Stds KGD DFT/Test EDA Top Die Vendor ubump Collaboration w/ OSAT All-in-One Vendor OR Interposer Vendor Interposer Post-processing Collaboration w/ OSAT Design rules for 2.5D Manufacturing Bill of Materials Selection/Compatibility Models & PDK for Interposer design Interposer material (silicon, organic, glass) 2.5D Assembly Vendor 2.5D Package Reliability Thin wafer handling Interposer optimized D2D interfaces Wide IO & HBM memory controllers, etc. HBM memory 3D stacks Special IP (Serdes) 22 Providing System-Optimized ASIC Solutions Fine-pitch probe technology Probe pad design & test implementat ion IEEE P1838 Working Group Interposer Test Structures Area IO floor planning, die P&R, integration & verification Signal & Power integrity, EM and ESD modeling & analysis Thermal analysis (different Tj max) 2.5D ATPG

Open-Silicon 2.5D and HBM2 Programs Proven Capability Across Foundries and OSATs ASIC Die (GF 28nm) 2.5D SiP HBM2 ASIC Die (GF 55nm) 2.5D Interposer (GF 65nm) HBM2 ASIC Die (TSMC 16nm) 2.5D Interposer (TSMC 65nm) HBM2 ASIC SiP (TSMC CoWoS) HBM2 Memory HBM2 ASIC Die 23 Providing System-Optimized ASIC Solutions

Summary Multi die Integration for high performance ASICs is necessary We have hit the memory wall 2.5D Integration of SoC with HBM memory is silicon proven Result is major improvement in performance, lowering of power and reduced footprint Silicon-proven HBM2 IP subsystem, design/manufacturing of HBM2 ASIC SiPs from a single vendor Lowers risk and time-to-market HBM ecosystem is ready for high volume manufacturing of HBM2 ASIC SiPs 24 Providing System-Optimized ASIC Solutions

THANK YOU 25 Providing System-Optimized ASIC Solutions