VIVADO TUTORIAL- TIMING AND POWER ANALYSIS

Similar documents
Vivado Design Suite Tutorial. Using Constraints

Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim. ver. 2.0

Quick Front-to-Back Overview Tutorial

Vivado Design Suite Tutorial. I/O and Clock Planning

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack andisim. ver. 1.0

SmartTime for Libero SoC v11.5

Vivado Design Suite Tutorial. Design Flows Overview

Vivado Design Suite User Guide

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Vivado Design Suite Tutorial. I/O and Clock Planning

Vivado Design Suite Tutorial: Implementation

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial

Contents. Appendix B HDL Entry Tutorial 2 Page 1 of 14

Xilinx ISE8.1 and Spartan-3 Tutorial EE3810

PlanAhead Software Tutorial

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Timing Analyzer Quick-Start Tutorial

Vivado Design Suite Tutorial. Design Analysis and Closure Techniques

Vivado Design Suite Tutorial. Design Analysis and Closure Techniques

EE 1315 DIGITAL LOGIC LAB EE Dept, UMD

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

Vivado Design Suite Tutorial. Designing with IP

Vivado Design Suite Tutorial. Design Analysis and Closure Techniques

Xilinx ISE8.1 and Spartan-3 Tutorial (Prepared by Kahraman Akdemir based on Professor Duckworth's Tutorials updated September 2006)

Introduction. About this tutorial. How to use this tutorial

Programming Xilinx SPARTAN 3 Board (Simulation through Implementation)

Vivado Design Suite User Guide

PlanAhead Release Notes

Introduction. In this exercise you will:

RTL Design and IP Generation Tutorial. PlanAhead Design Tool

A. Setting Up the Environment a. ~/ece394 % mkdir synopsys b.

Tutorial for Altera DE1 and Quartus II

Quick Tutorial for Quartus II & ModelSim Altera

Tutorial on FPGA Design Flow based on Aldec Active HDL. Ver 1.5

Revision: February 27, E Main Suite D Pullman, WA (509) Voice and Fax

Vivado Tutorial. Introduction. Objectives. Procedure

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

4. Verify that HDL is selected as the Top-Level Source Type, and click Next. The New Project Wizard Device Properties page appears.

Board-Data Processing. VHDL Exercises. Exercise 1: Basics of VHDL Programming. Stages of the Development process using FPGA s in Xilinx ISE.

ECE 4305 Computer Architecture Lab #1

Quick Start Guide ZedboardOLED Display Controller IP v1.0

Vivado Design Suite User Guide

I/O Planning Tutorial. PlanAhead Design Tool

Introduction to Xilinx Vivado tools

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

Vivado Design Suite User Guide

PlanAhead Software Tutorial

Tutorial on FPGA Design Flow based on Aldec Active HDL. ver 1.7

PlanAhead Software Tutorial

Xilinx Vivado/SDK Tutorial

Chapter 2 Getting Hands on Altera Quartus II Software

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 10/25/16

Xilinx Project Navigator Reference Guide

Vivado Design Suite User Guide. Designing IP Subsystems Using IP Integrator

Creating a base Zynq design with Vivado IPI

Vivado Design Suite Tutorial: Programming and Debugging

FPGA Design Tutorial

Using Synplify Pro, ISE and ModelSim

Microsoft Office Outlook 2007: Intermediate Course 01 Customizing Outlook

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

Project 1a: Hello World!

Logic Implementation on a Xilinx FPGA using VHDL WWU Linux platform assumed. rev 11/01/17

RTL and Technology Schematic Viewers Tutorial. UG685 (v13.1) March 1, 2011

Introduction to STA using PT

Vivado Design Suite Tutorial: Implementation

ELEC 204 Digital System Design LABORATORY MANUAL

Adding Custom IP to the System

Timing Analysis in Xilinx ISE

Vivado Design Suite User Guide

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 6: Quartus II Tutorial and Practice. Name: Date:

Vivado Design Suite User Guide

2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog

MAIL MERGE LABELS USE THE MAIL MERGE WIZARD

Tutorial for Verilog Synthesis Lab (Part 2)

How to Create an HR Help Case Quick Reference Guide

Learning More About NetObjects Matrix Builder 1

Vivado Design Suite Tutorial. Designing with IP

Start Mail Merge Step by Step Mail Merge Wizard messages

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston

I/O Pin Planning Tutorial. PlanAhead Design Tool

Nexys 2/3 board tutorial (Decoder, ISE 13.2) Jim Duckworth, August 2011, WPI. (updated March 2012 to include Nexys2 board)

Altera Technical Training Quartus II Software Design

Word 2010 Mail Merge. by Usman on March 05, 2010

USING THE Integrated Library to Database Library Translator WIZARD

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial

Vivado Walkthrough ECGR Fall 2015

EE 1315: DIGITAL LOGIC LAB EE Dept, UMD

SDRAM Interface Clocking for the NanoBoard 2

CPLD Experiment 4. XOR and XNOR Gates with Applications

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

NEXYS4DRR board tutorial

From the Insert Tab (1), highlight Picture (2) drop down and finally choose From Computer to insert a new image

erequest How to apply guide

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University. Laboratory Exercise #1 Using the Vivado

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

SCHEMATIC DESIGN IN QUARTUS

I/O Pin Planning Tutorial. PlanAhead Design Tool

PALMiCE FPGA Probing Function User's Manual

Verilog Design Entry, Synthesis, and Behavioral Simulation

Transcription:

VIVADO TUTORIAL- TIMING AND POWER ANALYSIS

IMPORTING THE PROJECT FROM ISE TO VIVADO Initially for migrating the same project which we did in ISE 14.7 to Vivado 2016.1 you will need to follow the steps below. First open the Vivado software and you will get the screen shown below. Click on create project and proceed to next step. Click on next and proceed to the next window. In this window click on Imported project as shown below Click next and proceed to the next window.

Next click on the ISE tab under project file section and click on of the project that you want to import in Vivado. to select the appropriate ISE file Once you click next you will get the following screen to select the ISE file. Click on OK after selecting the ISE file and you arrive at the following screen.

Then click Next to import the project to Vivado. Once you follow these steps the project will be imported in Vivado successfully and you should get the following screen.

VIVADO TIMING ANALYSIS From the Flow Navigator, select Add Sources in the Project Manager section. From the list displayed in the Add Sources dialog box, select Add or Create Constraints and click Next. After you click next you should arrive at the following screen. Please click on Create File and give the File name as you like. Click OK after you name it. This will create a xdc file.

Next Select Edit Timing Constraints from the Flow Navigator under the Netlist Analysis section. The Vivado IDE displays the Timing Constraints window as shown below. Under the Clocks heading of the Constraints tree view, double-click Create Clock. This opens the Create Clock wizard as shown below.

Enter the Clock Name. The clock name can be any name and does not have to match any element of the design (port or pin); it is just a name. For the Source Objects field select the browse button to bring up the following screen. Change * to *clk and click the Find button. clk should appear under Find results. Select clk and click the directional (right) arrow to move it under Selected names.

Select OK to finish specifying the clock sources and return to the Create Clock wizard. Click OK again to close the Create Clock wizard and create the clk clock constraint as shown. Click Apply at the bottom of the Timing Constraints window to save the clk constraint and update the in-memory design with any new or changed constraints. Note that You have not yet saved the constraint to the timing.xdc file. Notice that when you create the clock constraint, the Save Constraints icon is enabled. As shown below. Click the Save Constraints icon. Double-click on the timing.xdc file. The timing.xdc opens in the Vivado text editor and shows the create_clock command with context-sensitive text coloring as shown below.

Next click on the Report Timing Summary under the Implementation column as shown below. Once you do that you should arrive at the flowing screen. Click OK and proceed to get the Design Timing Summary which contains the Worst Negative Slack, Worst Hold Slack and WPSN as shown below.

We can generate a custom timing report for all paths in the Design. Select Tools > Timing > Report Timing to bring up the Report Timing dialog box as shown below. The report Timing dialog box opens as shown below. Click OK to generate the timing report as shown below. Notice that you now see values in the Slack Column and have Source and Destination Clock values for each path.

VIVADO POWER ANALYSIS You can click on the Report Power under the Synthesis column to get the power utilization summary of the Design as shown below. Once you do that you can view the following window.

Click ok to proceed and you should be able to view the Power Analysis as follows.

SCHEMATIC OF THE IMPLEMENTED DESIGN Once you Synthesize the Design in Vivado you can view the schematic of the Design by clicking on Open Elaborated Design under the RTL Analysis column. Once you do that you will be generating the Schematic of your design as Shown in the Below Example.