Piper Jaffray Europe Conference London

Similar documents
2010 UBS Global Technology and Services Conference

Credit Suisse European Technology Conference

Kaufman Brothers 13 th Annual Investor Conference

Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011

Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch

TMT Conference 2011 Bank of America

UBS Global Technology and Services Conference New York City. Craig DeYoung VP, Investor Relations & Corporate Communications

FBR Capital Markets 12 th Annual Spring Investor Conference

Natixis Technology Conference

DRAM Market Outlook: Worldwide Change in DRAM Underway as Capacitor Scaling Reaches Limit (Based on May MI Update / 2Q14 Diamond)

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014

Strengthening the leadership

I N V E S T O R S P R E S E N T A T I O N

Nanya Technology. KGI Greater China Corporate Day 2017Q4. Presentation to Investors & Analysts, December 14 th, 2017 Joseph Wu, AVP & Deputy Spokesman

I N V E S T O R S P R E S E N T A T I O N

Sony Ericsson continues to invest for future growth

Micronic Mydata Q4, Peter Uddfors, President and CEO Carl-Johan Blomberg, CFO 1 February, 2011

PRESS RELEASE October 17, 2008

Micronic Mydata Q1, Peter Uddfors, President and CEO Carl-Johan Blomberg, CFO 28 April, 2011

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts

Nanya Technology. WATERLAND Investment Forum Presentation to Investors & Analysts, August 29 th, 2017 Joseph Wu, AVP & Deputy Spokesman

Sony Ericsson sells over 100 million handsets in 2007

EUV. Frits van Hout Executive Vice President & Chief Program Officer. 24 November 2014

F-Secure Corporation - Interim report Q2 2011

Forward Looking Statement

Ericsson Second quarter 2018

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager Industry Research & Statistics, SEMI

2015 SEMICON West Analyst Briefing

Fab Investment Outlook and The Surge of China. Shanshan Du Senior Analyst SEMI China June 2018

Silicon Motion Technology Corporation

Verigy a Premier Semiconductor Test Company

Company Snapshot. $ million $2.46 billion 39% 52% $418.5 million

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer

Nanya Technology DRAM Environment & Company Update

Samsung Electronics Announces First Quarter Results

PRESS RELEASE January 16, 2009 Sony Ericsson reports results for fourth quarter and full year 2008

Nanya Technology. CREDIT SUISSE 18th Annual Asian Technology Conference

Results. Telefônica Brasil S.A

Sony Ericsson starts 2007 with strong first quarter

Steve Milligan President & Chief Executive Officer. April 22, 2010

Sony Ericsson reports second quarter 2010 results

Presentation title goes here

ISE Cyber Security UCITS Index (HUR)

Investor Presentation. June 2018

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager, SEMI Vietnam Semiconductor Strategy Summit September 16-17, 20214

Samsung Electronics. 10 th KRX Global Investors Conference -1 -

Nasdaq: DAIO. Anthony Ambrose. President and CEO. Data I/O Corporation May 2017 B. Riley & Co. Conference -- Investor Presentation

Consolidated Financial Results for the Second Quarter, Fiscal 2018

Nanya Technology. Cathay Securities 1Q2017 Investor Summit. Presentation to Investors & Analysts, March 16 th, 2017 Joseph Wu, AVP & Deputy Spokesman

HVB Group German Investment Conference

THIRD QUARTER Oct 21, 2016

IFX Day Short-Term Semiconductor Market Outlook and Long-Term Industry Trends. Dieter May VP Corporate Strategy. Munich September 22, 2003

GMO Internet Group Profile. Internet for Everyone

Annual Meeting of Shareholders. May 26, 2010

Houston Economic Overview Presented by Patrick Jankowski, SVP Research Greater Houston Partnership

CMOS Image Sensors in Automotive Industry ADAS & AUTONOMOUS Are Taking Off. Dec. 2018

An Executive View of Trends and Technologies in Electronics

Safe Harbor Notice. MPI Corporation.

All King County Summary Report

Flash Market Current & Future

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO

F-Secure Corporation Interim report Q4 2012

T-Mobile US Q4 and Full Year 2013

CalAmp Corp. Presented by:

UBS 42 nd Annual Global Media and Communications Conference December 8, Leigh Fox, CFO

Samsung Electronics Announces Third Quarter 2017 Results

FISCAL REALITY. Alan Beaulieu, President, ITR Economics

Next Level Stage 2. Accelerating transformation Status update

This presentation and the documents incorporated by reference herein contain forward-looking statements regarding future events and our future

Investor Presentation. February 2016

Seattle (NWMLS Areas: 140, 380, 385, 390, 700, 701, 705, 710) Summary

Seattle (NWMLS Areas: 140, 380, 385, 390, 700, 701, 705, 710) Summary

Bank of America S-MID Cap Conference Boston, MA. March 26,2008

Investor Relations Presentation

Forward-Looking and Cautionary Statements

-Riverstone Holdings Limited 3Q Results Briefing 2 November 2010

Spreadtrum Communications, Inc.

1Q17 RESULTS M AY / 2017

Conference Call Second Quarter 2003 Financial Results. Jorma Ollila Chairman and CEO

Seattle (NWMLS Areas: 140, 380, 385, 390, 700, 701, 705, 710) Summary

October Machinery Orders

Nancy Davis, VP Investor Relations NAIC, Better Investing National Convention November 4, 2005

Global and China Semiconductor Equipment Industry Report, Jan. 2014

Economic Update German American Chamber of Commerce

Global and China Semiconductor Equipment Industry Report, Apr. 2012

Mycronic, Q Lena Olving, President and CEO Torbjörn Wingårdh, CFO Clemens Jargon, VP Global Dispensing. 20 April, 2017

fourth QUARTER 2017 Jan 31, 2018

TABLE OF CONTENTS III. Section 1. Executive Summary

China Wireless Investor Presentation

FOURTH QUARTER AND FULL YEAR 25 JANUARY 2010

Nokia Conference Call 1Q 2012 Financial Results

Investor Presentation

Acquisition of SIMCom Wireless

Martin Purvis CEO. Presentation following the AGM. 26 April 2012

Public Public Slide 2 January Chips are everywhere Introducing ASML ASML s place in the industry

2012 NAND Flash Outlook

Windstream acquisition of Broadview Networks Transaction overview April 13, 2017

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Cincinnati Bell Inc. March 4, 2013

Transcription:

Piper Jaffray Europe Conference London Franki D Hoore Director Investor Relations June 22, 2010 / Slide 1

Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995: the matters discussed in this document may include forward-looking statements, including statements made about our outlook, realization of backlog, IC unit demand, financial results, average selling price, gross margin and expenses. These forward looking statements are subject to risks and uncertainties including, but not limited to: economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors (the principal product of our customer base), including the impact of general economic conditions on consumer confidence and demand for our customers products, competitive products and pricing, manufacturing efficiencies, new product development and customer acceptance of new products, ability to enforce patents and protect intellectual property rights, the risk of intellectual property litigation, availability of raw materials and critical manufacturing equipment, trade environment, changes in exchange rates and other risks indicated in the risk factors included in ASML s Annual Report on Form 20-F and other filings with the US Securities and Exchange Commission. / Slide 2

Agenda ASML Overview Short Term Business overview Future trends ASML Trajectory / Slide 3

/ Slide 4 ASML Overview

ASML : Leading supplier of lithography equipment to the semiconductor industry / Slide 5 Headquarters: Veldhoven, The Netherlands Market Cap approx 10 B

ASML s historic market share growth 70% 60% 50% 40% 30% 20% 10% 7,000 6,000 5,000 4,000 3,000 2,000 1,000 WW M$ ASML market share 70% 60% 50% 40% 30% 20% 0% 1984 1986 1988 1990 1992 1994 1996 1998 2000 2002 2004 2006 2008 1980 1981 1982 1983 1984 1985 1986 1987 1988 1989 1990 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 World Wide Market [M USD] ASML Revenue Market Share [%] 10% 0 0% Sources: VLSI, Gartner (1988-2009), SEMI (1980-2009) 2009 ASML 67% Nikon 29% Canon 4% $ Total market: $2500 million Source: ASML, SEMI / Slide 6

Commitment to innovation requires a strong player Source: ASML worldwide investments, Zeiss SMT / Slide 7

/ Slide 8 Short Term Business overview

A favorable analyst s semiconductor growth outlook for 2010 now at 23.2% YoY Semiconductor revenue growth 2010 Semiconductor revenue growth forecast over time 35% 30% 25% 20% 15% 10% 5% 0% Jan-09 Feb-09 Increased forecasts mainly driven by more stable IC ASPs. IC unit growth over 15% questionable, due to limited capacity additions in 2008 and 2009 Mar-09 Apr-09 May-09 Jun-09 Jul-09 Aug-09 Sep-09 Oct-09 Nov-09 Dec-09 Jan-10 Feb-10 Sources: See chart (5/2010) Weighted average is based on age of forecast from market institute and respective level of accuracy for the last 3 years Mar-10 Date of Forecast Apr-10 May-10 Jun-10 Jul-10 Aug-10 Sep-10 Oct-10 Nov-10 Dec-10 Future Horizons SIA Semico Dataquest IC Insights WSTS isuppli VLSI Research AVERAGE / Slide 9

Total inventories as well as days of inventories decreased in April 2010, as result of increased chip consumption 3mma IC unit sales, inventory and inventory days 25 monthly IC inventory based on 3mma IC ASPs 120 3mma IC unit sales / Inventory [Bio. Units] 20 15 10 5 3mma IC unit sale 3mma IC unit sales corrected for inventory 3mma Inventory days (right axis) - Jan-01 Jul-01 Jan-02 Jul-02 Jan-03 Jul-03 Jan-04 Jul-04 Jan-05 Jul-05 Jan-06 Jul-06 Jan-07 Jul-07 Jan-08 Jul-08 Jan-09 Jul-09 Jan-10 100 80 60 40 20 IC inventory [days] - Source: VLSI Research, WSTS, ASML Last data point: April 2010 / Slide 10

LOGIC World-wide under-investment in advanced logic capacity requires a structural catch up Foundries 80% Capital Intensity 60% 40% 20% 0% Source: ASML Marketing (4/10) / Slide 11 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010

Exposures Exposures Litho layer stacks per sector DRAM 50 45 40 35 30 25 20 15 10 5 0 50 45 40 35 30 25 20 15 10 5 0 I-line ArF EUVL 4 4 9 11 12 12 14 14 13 13 9 / Slide 12 7 9 8 10 7 7 9 4 5 5 11 11 18 17 15 13 13 LOGIC 1 1 9 11 9 9 4 5 5 5 5 5 5 11 11 12 12 12 16 16 15 15 15 150 130 110 90 80 65 55 45 38 32 28 25 22 18 15 18 8F² 6-8F² 6F² BWL - 6F² - EUV Node [nm] / Technology I-line ArF ArF-i EUVL 4 24 7 16 16 16 20 21 21 21 ASML Mar-10 2 2 2 2 2 130 90 65 45 32 22 15 150 120 90 70 50 35 25 Node [nm] / Technology Source: ASML Marketing (03/2010) 1 22 12 5 4 17 ASML Mar-10 Exposures 40 35 30 25 20 15 10 Exposures 5 0 60 50 40 30 20 10 0 11 12 10 17 16 15 3 4 4 13 13 NVM I-line ArF EUVL 1 1 1 3 4 4 6 7 7 6 6 6 2 2 3 3 3 3 3 3 3 12 13 13 13 13 13 13 13 13 12 11 11 10 10 9 9 9 9 9 9 9 10 130 110 90 80 65 55 42 35 28 22 20 18 15 12 19 10 12 26 26 NAND 3D- ReRam Node [nm] / Technology 11 30 MPU ArF ArF-i EUVL 12 18 4 5 26 23 90 65 45 32 22 16 12 8 24 14 13 Node [nm] / Technology 14 14 14 9 ASML Mar-10 18 14 5 6 ASML Mar-10 5 5 6

IC unit distribution over time Litho Layers grow with each node transition 80% DRAM node distribution 70% NAND - FG/ReRAM node distribution 70% 60% % of Units 60% 50% 40% 30% 20% 10% 0% 2007 2008 2009 2010 2011 2012 2013 2014 2015 18nm 22nm 25nm 28nm 32nm 38nm 45nm 55nm 65nm 80nm 90nm 110nm 130nm % of Units 50% 40% 30% 20% 10% 0% 2007 2008 2009 2010 2011 2012 2013 2014 2015 19nm ReRAM 12nm FG 15nm FG 18nm FG 20nm FG 22nm FG 28nm FG 35nm FG 42nm FG 55nm FG 65nm FG 80nm FG 90nm FG LOGIC/MCU/DSP node distribution MPU node distribution 30% 80% 25% 70% % of Units 20% 15% 10% 22nm 32nm 45nm 65nm 90nm 130nm 150nm 180nm 250nm 350nm % of Units 60% 50% 40% 30% 20% 12nm 16nm 22nm 32nm 45nm 65nm 90nm 5% 10% 0% 2007 2008 2009 2010 2011 2012 2013 2014 2015 0% 2007 2008 2009 2010 2011 2012 2013 2014 2015 Source: ASML Marketing (03/2010) / Slide 13

DRAM industry wafer production capacity 2 concurrent node transitions in 2010 driving Capex and cost reductions Wafer Starts [300 mm equiv. KWSM] 2000 1800 1600 1400 1200 1000 800 600 400 200 0 DRAM WW Monthly Wafer Production Split by Node 1XX nm -200mm 9x nm -200 mm 8x nm -200 mm 9x nm 8x nm 6x nm 5x nm 4x nm 3x nm 2x nm * Gartner DRAM bit forecast (3/10): 2009 2010 2011 Mio GB (new): 1348 2123 3129 YoY gr.: +24% +57% +47% Q1 08 Q2 08 Q3 08 Q4 08 Q1 09 Q2 09 Q3 09 Q4 09 Q1 10 Q2 10 Q3 10 Q4 10 Source: ASML (4/10) / Slide 14

NAND industry wafer production capacity 2 concurrent node transitions in 2010 driving Capex and cost reductions 1400 NAND WW Monthly Wafer Starts Split by Node and Utilization 7x-200 mm 6x-200 mm 5x-200 mm 7x nm 6x nm Wafer Starts [300 mm equiv. KWSM] 1200 1000 800 600 400 200 0 5x nm 4x nm 3x nm 2x nm 1x nm * Gartner NAND bit forecast (3/10): Q1 08 Q2 08 Q3 08 Q4 08 Q1 09 Q2 09 Q3 09 Q4 09 Q1 10 Q2 10 Q3 10 Q4 10 2009 2010 2011 Mio GB (new): 6467 10718 19680 YoY gr.: +43% +66% +84% Source: ASML (4/10) / Slide 15

ASML Systems: 300mm Memory Average number of systems required for new fab 3xnm NAND 4xnm DRAM ArF ArF ArF ArF ArF ArF 32 litho layers 37 litho layers 120k wafers/month 100k wafers/month / Slide 16

DRAM shrink creates bit growth and lowers cash cost DRAM MAIN DRAM SPOT & CONTRACT PRICES (01/2008-2010YTD) 2.8 1Gb DDR2 667 SPOT PRICE 1Gb DDR2 667 CONTRACT PRICE 1Gb DDR3 1066 SPOT PRICE 2.4 1Gb DDR3 1066 CONTRACT PRICE Chip ASP [$US] 2 1.6 1.2 300 mm cash-costs for 6x nm 1 Gb DRAM between 1.0 & 1.2 USD 300 mm cash-costs for 5x nm 1 Gb DRAM between 0.8 & 0.9 USD 300 mm cashcosts for 7x nm 1 Gb DRAM between 1.4 & 1.6 USD 1,8 US$ cash profit per DDR3 IC (>65% GM, if made at 5x nm) 0.8 0.4 Jan-08 Feb-08 Mar-08 Apr-08 May-08 Jun-08 Jul-08 Aug-08 Sep-08 Oct-08 Nov-08 Dec-08 Source: DRAMeXchange (2/6/2010), ASML MCC / Slide 17 300 mm cash-costs for 4x nm 1 Gb DRAM between 0.65 & 0.75 USD Jan-09 Feb-09 Mar-09 Apr-09 May-09 Jun-09 Jul-09 Aug-09 Sep-09 Oct-09 Nov-09 Dec-09 Jan-10 Feb-10 Mar-10 Apr-10 May-10 Jun-10

/ Slide 18 Future trends

A large technology driver until 2020 Source: Morgan Stanley (12/09) / Slide 19

IC segment roadmaps sustained aggressive pace ASML supports shrink roadmap 200 Logic Resolution/half pitch, "Shrink" [nm] 100 80 60 50 40 30 AT:1200 XT:1400 XT:1700i 1 year/node XT:1900i 18 months/node NXT:1950i DRAM NAND NXE:3100 2 years/node ARF ARFi 20 NXE:3300 EUV 02 03 04 05 06 07 08 09 10 11 12 13 14 15 *Average customer input, (1/10) / Slide 20 Year of production start*

Customer lithography roadmap by sector DRAM Node = HP [nm] NAND Node = HP [nm] MPU Node / HP [nm] 45 / 80 YEAR 2007 2008 2009 2010 2011 2012 2013 2014 2015 65 55 52 45 38 32 28 25 22 55 42 35 28 22 20 18 15 12 32 / 60 22 / 40 15 / 30 11 / 22 LOGIC Node / HP [nm] 45 / 70 40 / 70 32 / 50 28 / 50 22 / 35 DPT 15/30 Single exposure Double patterning ie.,spacer Double patterning LELE EUV EUV INSERTION TIME FAVORED BY DESIGN LIMITATIONS, COST AND PROCESS CONTROL REQUIREMENTS OF DOUBLE PATTERNING, MAKING EUV PROCESS OF CHOICE WHEN ACHIEVING ITS COST TARGETS Source: ASML Marketing (4/10) / Slide 21

ASML s R&D focus is on three strategic programs TWINSCAN NXT Holistic Lithography EUV / Slide 22

Technology Leadership - Reinforced Immersion Lithography: - Installed Base >160 Systems - leading performance on CD imaging uniformity well below 1 nm and overlay of less than 2 nm - 28 systems in backlog end Q1 10 - More than 10 NXT installed and producing NXT:1950i EUV: - 6 systems shipping thru mid 2011 - Taking orders for 2012 NXE:3100 Source: ASML / Slide 23

Holistic Litho: A requirement to enable next nodes Process Window Process Control Pre-production: enlarges the process window further and earlier through pattern optimization and scanner tuning Production: ensures that the process stays in the sweet spot of the process window maximized for the specific application at hand, increasing yield and tool availability / Slide 24

Holistic Litho Addressing process control To keep process in application specific window center using computational lithography, cost effective metrology and feedback loops Data Scanner settings design/ mask data SMO FlexRay Tuning Scanner settings Wafer data Wafer data BaseLiner Control Scanner settings Data Scanner settings Value to Customers: Higher Product Yield Faster time to market for new chip designs / Slide 25

/ Slide 26 Summary

ASML Strategy Continue the large R&D outlay to ensure leadership and provide customers with enabling technologies Improve profitability further by: Improving product mix towards value added software support tools Keeping fixed cost structure to a low break even point, while growing top line Improving further operational performance, by reducing cycle times further, improving its yield and developing even stronger supplier partnerships. Continue to returning cash to investors through our existing dividend policy and current excess cash return program Execute on revenue growth trajectory available to ASML in Semiconductor Lithography, and keep reviewing synergistic developments outside Semiconductors for potential limited investments / Slide 27

ASML Trajectory ASML confirms its leadership in offering lithography technology that enables the industry's shrink roadmaps and therefore makes ASML the partner of choice for all leading Semiconductor Manufacturers ASML confirms that it has the appropriate size and know how to continue leading the industry through 2015 and beyond in a Lithography market which supports ASML s target for 5B Euro revenues at current cycle peak. / Slide 28

/ Slide 29