Microarchitecture Overview. Performance

Similar documents
Microarchitecture Overview. Performance

Advanced Processor Architecture. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

EN164: Design of Computing Systems Lecture 24: Processor / ILP 5

Advanced Processor Architecture

EN164: Design of Computing Systems Topic 06.b: Superscalar Processor Design

Which is the best? Measuring & Improving Performance (if planes were computers...) An architecture example

Overview of Today s Lecture: Cost & Price, Performance { 1+ Administrative Matters Finish Lecture1 Cost and Price Add/Drop - See me after class

Performance, Cost and Amdahl s s Law. Arquitectura de Computadoras

Lecture 2: Computer Performance. Assist.Prof.Dr. Gürhan Küçük Advanced Computer Architectures CSE 533

ECE C61 Computer Architecture Lecture 2 performance. Prof. Alok N. Choudhary.

Lecture 3: Evaluating Computer Architectures. How to design something:

Computer Architecture. Introduction. Lynn Choi Korea University

Lecture 21: Parallelism ILP to Multicores. Parallel Processing 101

Advanced d Instruction Level Parallelism. Computer Systems Laboratory Sungkyunkwan University

Intel released new technology call P6P

Execution-based Prediction Using Speculative Slices

Lecture 9: More ILP. Today: limits of ILP, case studies, boosting ILP (Sections )

HP PA-8000 RISC CPU. A High Performance Out-of-Order Processor

Course web site: teaching/courses/car. Piazza discussion forum:

Uniprocessors. HPC Fall 2012 Prof. Robert van Engelen

Multiple Issue ILP Processors. Summary of discussions

High-Performance Microarchitecture Techniques John Paul Shen Director of Microarchitecture Research Intel Labs

Speculative Multithreaded Processors

Advanced d Processor Architecture. Computer Systems Laboratory Sungkyunkwan University

CSE 502 Graduate Computer Architecture. Lec 11 Simultaneous Multithreading

Reorder Buffer Implementation (Pentium Pro) Reorder Buffer Implementation (Pentium Pro)

EC 513 Computer Architecture

Design of Experiments - Terminology

Pentium IV-XEON. Computer architectures M

Computer Systems Architecture I. CSE 560M Lecture 10 Prof. Patrick Crowley

Superscalar Processors

Real Processors. Lecture for CPSC 5155 Edward Bosworth, Ph.D. Computer Science Department Columbus State University

CPI < 1? How? What if dynamic branch prediction is wrong? Multiple issue processors: Speculative Tomasulo Processor

UCB CS61C : Machine Structures

Processor (IV) - advanced ILP. Hwansoo Han

Shengyue Wang, Xiaoru Dai, Kiran S. Yellajyosula, Antonia Zhai, Pen-Chung Yew Department of Computer Science & Engineering University of Minnesota

The Processor: Instruction-Level Parallelism

45-year CPU Evolution: 1 Law -2 Equations

The Pentium II/III Processor Compiler on a Chip

Computer Science 146. Computer Architecture

Intel Enterprise Processors Technology

Impact of Cache Coherence Protocols on the Processing of Network Traffic

Dual-Core Execution: Building A Highly Scalable Single-Thread Instruction Window

Computer System. Performance

CSE 820 Graduate Computer Architecture. week 6 Instruction Level Parallelism. Review from Last Time #1

Superscalar Machines. Characteristics of superscalar processors

The bottom line: Performance. Measuring and Discussing Computer System Performance. Our definition of Performance. How to measure Execution Time?

CPI IPC. 1 - One At Best 1 - One At best. Multiple issue processors: VLIW (Very Long Instruction Word) Speculative Tomasulo Processor

TDT4255 Computer Design. Lecture 1. Magnus Jahre

XT Node Architecture

5008: Computer Architecture

1.6 Computer Performance

EECS 322 Computer Architecture Superpipline and the Cache

Chapter 03. Authors: John Hennessy & David Patterson. Copyright 2011, Elsevier Inc. All rights Reserved. 1

Wish Branch: A New Control Flow Instruction Combining Conditional Branching and Predicated Execution

Security-Aware Processor Architecture Design. CS 6501 Fall 2018 Ashish Venkat

Superscalar Processor

NOW Handout Page 1. Review from Last Time #1. CSE 820 Graduate Computer Architecture. Lec 8 Instruction Level Parallelism. Outline

Lecture 4: Instruction Set Architectures. Review: latency vs. throughput

Superscalar Processors Ch 14

Superscalar Processing (5) Superscalar Processors Ch 14. New dependency for superscalar case? (8) Output Dependency?

ECE/CS 552: Introduction to Superscalar Processors

TDT Coarse-Grained Multithreading. Review on ILP. Multi-threaded execution. Contents. Fine-Grained Multithreading

Superscalar Organization

CS450/650 Notes Winter 2013 A Morton. Superscalar Pipelines

Chapter-5 Memory Hierarchy Design

EE282 Computer Architecture. Lecture 1: What is Computer Architecture?

Performance COE 403. Computer Architecture Prof. Muhamed Mudawar. Computer Engineering Department King Fahd University of Petroleum and Minerals

Modern Computer Architecture (Processor Design) Prof. Dan Connors

Page 1. Review: Dynamic Branch Prediction. Lecture 18: ILP and Dynamic Execution #3: Examples (Pentium III, Pentium 4, IBM AS/400)

Unit 11: Putting it All Together: Anatomy of the XBox 360 Game Console

CS61C - Machine Structures. Week 6 - Performance. Oct 3, 2003 John Wawrzynek.

How to write powerful parallel Applications

CS 252 Graduate Computer Architecture. Lecture 4: Instruction-Level Parallelism

Superscalar Processors

Module 18: "TLP on Chip: HT/SMT and CMP" Lecture 39: "Simultaneous Multithreading and Chip-multiprocessing" TLP on Chip: HT/SMT and CMP SMT

COSC 6385 Computer Architecture - Thread Level Parallelism (I)

Chapter 1. and Technology

Lec 25: Parallel Processors. Announcements

Computer Architecture s Changing Definition

Keywords and Review Questions

Portland State University ECE 588/688. Cray-1 and Cray T3E

Low-Complexity Reorder Buffer Architecture*

An Analysis of the Performance Impact of Wrong-Path Memory References on Out-of-Order and Runahead Execution Processors

This Unit: Putting It All Together. CIS 501 Computer Architecture. What is Computer Architecture? Sources

EE382A Lecture 3: Superscalar and Out-of-order Processor Basics

COMPUTER ORGANIZATION AND DESI

Chapter 1. Computer Abstractions and Technology. Adapted by Paulo Lopes, IST

ECE 552: Introduction To Computer Architecture 1. Scalar upper bound on throughput. Instructor: Mikko H Lipasti. University of Wisconsin-Madison

Multicore and Parallel Processing

HW Trends and Architectures

Limitations of Scalar Pipelines

CS 152, Spring 2011 Section 8

Computer Architecture Lecture 12: Out-of-Order Execution (Dynamic Instruction Scheduling)

Performance evaluation. Performance evaluation. CS/COE0447: Computer Organization. It s an everyday process

CIT 668: System Architecture

Lecture 1: Introduction

Techniques for Mitigating Memory Latency Effects in the PA-8500 Processor. David Johnson Systems Technology Division Hewlett-Packard Company

CPE/EE 421 Microcomputers

Several Common Compiler Strategies. Instruction scheduling Loop unrolling Static Branch Prediction Software Pipelining

Transcription:

Microarchitecture Overview Prof. Scott Rixner Duncan Hall 3028 rixner@rice.edu January 18, 2005 Performance 4 Make operations faster Process improvements Circuit improvements Use more transistors to make a function faster 4 Execute more operations in parallel Pipelining Superscalar execution Scott Rixner Lecture 2 2 1

Pipelining 4 Basic microprocessor pipeline Instruction fetch (IF) Instruction decode (ID) Execute (EX) Memory access (MEM) Writeback (WB) Fetch Decode Execute Memory Write Scott Rixner Lecture 2 3 Superscalar Execution 4 Branch prediction 4 Instruction scheduling Compiler reordering In-order issue/completion Out-of-order issue/completion Register renaming Scott Rixner Lecture 2 4 2

Alpha 21264 Pipeline -IEEE Micro 3/99 Scott Rixner Lecture 2 5 Pentium Pro Pipeline 4 IFU1 Fetch 32 bytes from L1 $ 4 IFU2 Find instructions (branches to BTB) 4 IFU3 Align instructions 4 DEC1 3 decoders generate µops 4 DEC2 Move µops to dispatch queue 4 RAT Rename/allocate 40 registers 4 ROB Allocate reorder buffer (40) 4 DIS Dispatch µops to units 4 EX Execute 4 RET1 Mark ROB for retirement 4 RET2 Retire instructions Scott Rixner Lecture 2 6 3

Pentium 4 Pipeline 4 Stages 1-2 Trace cache next instruction pointer 4 Stages 3-4 Trace cache fetch 4 Stage 5 Drive (wire delay!) 4 Stages 6-8 Allocate and Rename 4 Stages 10-12 Schedule instructions Memory/fast ALU/slow ALU & general FP/simple FP 4 Stages 13-14 Dispatch 4 Stages 15-16 Register access 4 Stage 17 Execute 4 Stage 18 Set flags 4 Stage 19 Check branches 4 Stage 20 Drive (more wire delay!) Scott Rixner Lecture 2 7 UltraSPARC III Pipeline -IEEE Micro 5/99 Scott Rixner Lecture 2 8 4

Memory System Issues 4 Latency (10s to 100s of cycles) 4 Caching Locality Sources of misses (compulsory, capacity, conflict) 4 Load boosting Small basic blocks Critical word first 4 Prefetching 4 Load/store reordering Memory disambiguation Scott Rixner Lecture 2 9 ITRS Predictions Year 2001 2003 2006 2009 2010 2012 2013 Technology 250 180 150 130 100 1999 Transistors 40 76 200 520 1400 Clock Frequency 1400 1600 2000 2500 3000 Technology 150 107 70 45 32 2001 Transistors 276 439 878 2212 4424 Clock Frequency 1684 3088 5631 11511 19384 Technology 107 70 50 45 35 32 2003 Transistors 439 878 1756 2212 3511 4424 Clock Frequency 2976 6783 12369 15079 20065 22980 -International Technology Roadmap for Semiconductors (1999, 2001, 2003) Scott Rixner Lecture 2 10 5

Transistor Counts - Intel Scott Rixner Lecture 2 11 2004: Intel Itanium 2 4 Issues up to 8 ops per cycle 4 0.13 micron process 4 592 million transistors 4 432 mm 2 die 4 128-bit bus 4 16KB data cache 4 16KB instruction cache 4 9MB L3 cache (256KB L2) 4 1.6 GHz Scott Rixner Lecture 2 12 6

Future Challenges 4 2000 Interconnect Design 4 2002 Design productivity Power management Multicore organization I/O bandwidth Circuit and process technology 4 2004 Drivers Systems on chip Mixed-signal chips Embedded memory Design Test Scott Rixner Lecture 2 13 Interconnect 4 Local Within a module Scales with technology 4 Global Connect major functional areas Does not scale well with technology On-chip interconnection networks 4 Architectural impact? Scott Rixner Lecture 2 14 7

Power Trends -Computer 1/04 4 Low power devices will consume too much power Scott Rixner Lecture 2 15 Power Gap -Computer 1/02 4 Low-power devices will be dominated by memory 4 Why? 4 What is the architectural impact of this? Scott Rixner Lecture 2 16 8

Design Productivity Gap 4 Communications-centric design 4 Robustness and Scalability 4 Cost optimization and cooptimization 4 What about the architect? -Computer 1/99 Scott Rixner Lecture 2 17 Test 4 Revolution in test equipment design? Cost of tester has overwhelmed other test costs Increased integration, open architecture Focus on test instrument, not infrastructure Focus on test capability Improve time to market 4 SoC testing Must test internal cores 4 High-speed signals New testing problems coming into the mainstream 4 More difficult to ensure reliability Scott Rixner Lecture 2 18 9

Performance Evaluation 4 Microbenchmarks Small snippets of code that directly measure performance of a particular feature 4 Kernels Functions that represents the important parts of applications 4 Applications Actual real world applications that a user may run 4 Whatever is available? Scott Rixner Lecture 2 19 Performance Comparison 4 X is n times faster than Y means: ExTime( Y ) ExTime( X ) = Perf ( X ) Perf ( Y ) = n 4 Example: Y takes 15 seconds to complete task, X takes 10 seconds How much faster is X? 4 Same definitions apply to other metrics, such as throughput Scott Rixner Lecture 2 20 10

Example Plane DC to Paris Speed Passengers Throughput (PMPH) Boeing 747 6.5 hours 610 mph 470 266,700 Concorde 3 hours 1350 mph 132 178,200 4 Performance metrics Time to run the task (travel time for each passenger) Throughput (person miles per hour = PMPH) 4 Comparisons Speed of Concorde > 747 Throughput of 747 > Concorde Scott Rixner Lecture 2 21 Amdahl s Law 4 Performance improvements depend on: How good is enhancement How often is it used 4 Speedup due to enhancement E (fraction p sped up by factor S): ExTime w/out E Perf w/ E Speedup(E) = = ExTime w/ E Perf w/out E ExTimenew = ExTimeold 1 ExTimeold Speedup( E) = = ExTime ( p) + Scott Rixner Lecture 2 22 new 1 p S ( 1 p) + p S 11

SPECint Benchmarks 4 gzip Compression 4 vpr FPGA circuit place and route 4 gcc C compiler 4 mcf Combinatorial optimization 4 crafty Chess player 4 parser Word processing 4 eon Computer visualization 4 perlbmk Perl programming language 4 gap Group theory, interpreter 4 vortex Object-oriented database 4 bzip2 Compression 4 twolf Place and route simulator Scott Rixner Lecture 2 23 SPEC CPU Benchmarks 4 What are they measuring? 4 How are they selected? 4 Are they ideal performance measures/predictors? 4 Could we do better? Scott Rixner Lecture 2 24 12

SPEC Results 4 SPECratios are speedup over a 300MHz Sun Ultra 5 times 100 Processor SPEC2000 Int SPEC2000 FP Alpha 21364 904 1,279 AMD Opteron 150 1,566 1,591 IBM Power4+ 1,077 1,598 IBM Power5 1,398 2,576 Intel Itanium 2 1,590 2,712 Intel XeonMP 1,379 1,270 Intel Xeon 1,521 1,504 Scott Rixner Lecture 2 25 Next Classes 4 Thursday Front End 1 A Comparison of Dynamic Branch Predictors that use Two Levels of Branch History Cooperative Prefetching: Compiler and Hardware Support for Effective Instruction Prefetching in Modern Processors 4 Tuesday Front End 2 A Scalable Front-End Architecture for Fast Instruction Delivery Trace Cache: A Low Latency Approach to High Bandwidth Instruction Fetching Scott Rixner Lecture 2 26 13