Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving

Size: px
Start display at page:

Download "Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving"

Transcription

1 Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving ASP-DAC 2013 Albert-Ludwigs-Universität Freiburg Matthias Sauer, Sven Reimer, Ilia Polian, Tobias Schubert, Bernd Becker Chair of Computer Architecture

2 Motivation Test pattern relaxation Test cube: Parts of the pattern are unspecified (Don t Care) Test requirements still hold Used for: Refilling Minimizing power consumption Compaction (e.g., Embedded Deterministic Test) All known techniques are approximative Our approach: Test cube generation with maximum number of Don t Cares Optimal test cube Measure the quality of heuristic methods Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 2 / 17

3 Outline 1 Motivation 2 Preliminaries Circuit encoding Unspecified values Sensitizable paths + small delay faults 3 Optimal test cube generation 4 Experimental results 5 Conclusion Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 3 / 17

4 Circuit encoding G A B C E D F D Boolean satisfiability (SAT) formulation in CNF: Tseitin encoding [Tseitin 68] Additional variables for each gate Linear in circuit size Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 4 / 17

5 Circuit encoding G A B C E D F D Boolean satisfiability (SAT) formulation in CNF: Tseitin encoding [Tseitin 68] Additional variables for each gate Linear in circuit size Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 4 / 17

6 Circuit encoding A B E Boolean satisfiability (SAT) formulation in CNF: Tseitin encoding [Tseitin 68] Additional variables for each gate Linear in circuit size Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 4 / 17

7 Circuit encoding E (A B) A B E Boolean satisfiability (SAT) formulation in CNF: Tseitin encoding [Tseitin 68] Additional variables for each gate Linear in circuit size Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 4 / 17

8 Circuit encoding Clause {}}{ (A E) (B E) ( Literal E (A B) {}}{ A B E) A B E Boolean satisfiability (SAT) formulation in CNF: Tseitin encoding [Tseitin 68] Additional variables for each gate Linear in circuit size Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 4 / 17

9 Unspecified values 01X logic [Jain et al. 00] G = 1 01X A = 1 01X E = X 01X B = X 01X C = 1 01X D = X 01X F = X 01X D = X 01X Three-valued logic: 0 01X (logic 0), 1 01X (logic 1), X 01X (unknown) 01X in SAT: 0 01X = (0,1), 1 01X = (1,0), X 01X = (0,0) SAT encoding for 01X doubles size of the formula In example: Output F is unknown if input B is unspecified Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 5 / 17

10 Unspecified values Exact formulation Simulation for B = 0 = 1 A = 1 B = 0 = 1 C = 1 E = 1 = 0 D = 0 = 1 G = 1 = 1 F = 1 = 1 D = 0 = 1 But: F can be set to 1, even if B is unspecified Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 6 / 17

11 Unspecified values Exact formulation A = 1 B =? C = 1 E D G F = 1 But: F can be set to 1, even if B is unspecified QBF: Universally quantified variables for unknown values {A,C} {B} {D,E,F,G}. ϕ(a,...,g) (A) (C) (F) }{{}}{{}}{{} Prefix Tseitin encoding property Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 6 / 17

12 Unspecified values Exact formulation Simulation for B = 0 = 1 A = 1 B = 0 = 1 C = 1 E = 1 = 0 D = 0 = 1 G = 1 = 1 F = 1 = 1 D = 0 = 1 But: F can be set to 1, even if B is unspecified QBF: Universally quantified variables for unknown values {A,C} {B} {D,E,F,G}. ϕ(a,...,g) (A) (C) (F) }{{}}{{}}{{} Prefix Tseitin encoding property QBF: reconvergent paths are resolved by formulation Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 6 / 17

13 Unspecified values Exact formulation G = 1 01X A = 1 01X E = X 01X B = X 01X C = 1 01X D = X 01X F = X 01X D = X 01X But: F can be set to 1, even if B is unspecified QBF: Universally quantified variables for unknown values {A,C} {B} {D,E,F,G}. ϕ(a,...,g) (A) (C) (F) }{{}}{{}}{{} Prefix Tseitin encoding property QBF: reconvergent paths are resolved by formulation 01X: reconvergent paths may block propagation of values Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 6 / 17

14 Unspecified values Exact formulation G = 1 01X A = 1 01X E = X 01X B = X 01X C = 1 01X D = X 01X F = X 01X D = X 01X But: F can be set to 1, even if B is unspecified QBF: Universally quantified variables for unknown values {A,C} {B} {D,E,F,G}. ϕ(a,...,g) (A) (C) (F) }{{}}{{}}{{} Prefix Tseitin encoding property QBF: Exact formulation for Don t Cares 01X: Approximative formulation for Don t Cares Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 6 / 17

15 Sensitizable paths + small delay faults F F 3 F F 1 F F 2 F F 4 Sensitizable path: Transition from input to output Length of a path according to sum of gate delays Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 7 / 17

16 Sensitizable paths + small delay faults Length 6 Length F F 3 0 F F 1 F F F F Clock Sensitizable path: Transition from input to output Length of a path according to sum of gate delays Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 7 / 17

17 Sensitizable paths + small delay faults Length 6+δ Length 2+δ (erroneous behavior) (works fine) 4 F F F F 1 F F δ 4 F F 4 6 +δ 2 +δ Additional delay of δ = 2 Clock Sensitizable path: Transition from input to output Length of a path according to sum of gate delays Small delay faults: Assume additional delay for one gate Output transition too late for clock Two-pattern delay test The longer the path the higher the detection quality Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 7 / 17

18 Optimal test cube generation Primary inputs Flip-Flops p x 1... p x p i+1 i... p m x x Flip-Flops Two-pattern delay test Small delay faults over two timeframes Test cube with maximum number of unspecified inputs using QBF Quantify unspecified inputs universally, specified ones existentially If path for small delay fault is sensitizable: Universally quantified inputs: excluded from test cube Existential quantified inputs: test cube But: The quantifier of a variable cannot be changed in QBF Unspecified inputs are unidentified a-priori Which inputs have to be quantified universally? Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 8 / 17

19 Multiplexed inputs S 1 E 1 A MUX 1 Primary inputs S n E n A n 0 1 MUX n Flip-Flops Flip-Flops p x 1... p i p x i+1... p x m x Multiplexed Inputs Two-pattern delay test ψ = {S 1,...,S n,e 1,...,E n } {A 1,...,A n }...ϕ Circuit ϕ Property ϕ MUX Dynamic choice of (un-)specified input with multiplexer Select input S i switches between specified (S i = 0 : E i ) and unspecified (S i = 1 : A i ) for any primary input I i Find the maximum number of select inputs that can be set to Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 9 / 17

20 Maximization SO 1... SO n Bitonic Sorting Network S S n E 1 A MUX 1... E n A n 0 1 MUX n Flip-Flops Primary inputs Flip-Flops p x 1... p i p x i+1... p x m x Maximization Multiplexed Inputs Two-pattern delay test Sort select-inputs S i with Bitonic sorting network [Batcher 68] Circuit size of sorter: O(n logn) Input vector S is sorted by 1 s and 0 s Sorted output vector SO Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 10 / 17

21 Optimal test cube generation SO SO 1 k Bitonic SO 0 k+1 Sorting 0Network 0 0 SO n S 1... S n E 1 A MUX 1... E n A n 0 1 MUX n Flip-Flops Primary inputs Flip-Flops p x 1... p i p x i+1... p x m x Maximization Multiplexed Inputs Two-pattern delay test ψ(j) = {SO 1,...,SO n,s 1,...,S n,e 1,...,E n } {A 1,...,A n }... Binary search over j ϕ Circuit ϕ Property ϕ MUX ϕ Sorter (SO j ) Search for k, such that: path is sensitizable with k unspecified inputs (SO k = 1), but not with k + 1 (SO k+1 = 0) QBF solver returns assignment for outermost existential variables: S 1,...,S n : unspecified inputs; remaining E 1,...,E n : test cube Optimal test cube, i.e., maximum number of Don t Cares Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 11 / 17

22 01X-Optimal test cube generation Primary inputs SO 1 T 1... SO n Bitonic Sorting Network... T n... Flip-Flops Flip-Flops p x 1... p i p x i+1... p x m x Maximization Trigger X Two-pattern delay test ϕ(j) = ϕ } Circuit ϕ {{} Property ϕ Trigger ϕ Sorter (SO j ) 01X encoding Binary search over j Search for k, such that: path is sensitizable with k unspecified inputs (SO k = 1), but not with k + 1 (SO k+1 = 0) If T i = 1, corresponding input I i is set to X 01X SAT solver returns assignment for all variables: T 1,...,T n : unspecified inputs; remaining input variables: test cube 01X-Optimal test cube, i.e., optimal for 01X encoding Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 12 / 17

23 Experimental setup Sequential versions of ISCAS 89 and ITC 99 benchmarks SAT-based path generator PHAETON [Sauer et al. 11]: 100 longest broadside testable paths of each circuit In-house SAT solver antom [Schubert et al. 10] and QBF solver quantom Cone-of-influence (COI) reduction Average percentage of Don t Cares (DC) Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 13 / 17

24 Results for ISCAS 89 & ITC 99 circuits 100% 90% Cone-of-Influence Optimal test cube 80% 70% 60% 50% 40% 30% 20% 10% 0% s00027 s00208 s00298 s00344 s00349 s00382 s00386 s00400 s00420 s00444 s00510 s00526 s00641 s00713 s00820 s00832 s00838 s00953 s01196 s01238 s01423 s01488 s01494 s05378 s13207 s35932 s38417 b01 b02 b03 b04 b05 b06 b07 b08 b09 b10 b11 b12 b13 b15 DC Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 14 / 17

25 Comparison of QBF-optimal result Static (initial test pattern needed): 1. Lifting [Ravi, Somenzi 04] (best case QBF-optimal) 2. Simulation (best case 01X-optimal) Average over 100 random initial test patterns Dynamic (find test cube directly with given test requirements): 3. 01X-optimal Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 15 / 17

26 Comparison % % average runtime in seconds qualitiy loss* 5% 4% 3% 2% 1% 0.1 0% QBF-opt. 01X-opt. Lifting Simulation QBF-opt. 01X-opt. Lifting Simulation Loss(Method) = 1 DC Method DC COI DC Optimal DC COI Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 16 / 17

27 Conclusion Novel technique for generation test cubes with QBF First approach producing test cubes with maximum number of Don t Cares Framework adaptable to any task that maximizes number of unspecified lines Compare heuristic approaches with true optimum New and fast method for 01X encoding (01X-optimal) Future work Adapt framework to other applications and fault models Increase scalability of QBF-solver Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 17 / 17

28 SAT + QBF Satisfiability problem or SAT problem: Given propositional formula ϕ. Is there an assignment to the variables, such that ϕ is satisfied? ϕ in conjunctive normal form (CNF), e.g., ϕ(x 1,...,x n ) = (x 1 x }{{} 2 ) (x 2 x 3 x 4 )... }{{} literal clause Notation: ϕ(x 1,...,x n ) = {{x 1, x 2 },{x 2,x 3, x 4 },...} Properties of CNF: Clause is satisfied iff at least one literal is assigned to 1. CNF is satisfied iff all clauses are satisfied. Combinational circuits can be transformed into CNF in linear size of the circuit (Tseitin encoding) Well known NP-complete problem with enormous improvements in the last decades Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 18 / 17

29 SAT + QBF Quantified Boolean formula (QBF) is an extension of SAT: variables are quantified existentially ( ) or universally ( ) Example for a QBF ψ in prenex normal form: ψ(x 1,...,x n ) = {x 1 } {x 2,x 3 } {x 4 }... {x n }.ϕ(x }{{} 1,...,x n ) }{{} prefix matrix (CNF) Semantics (for this example): ψ is satisfied iff there exists one assignment for x 1 such that for every assignment of x 2 and x 3, there exists one assignment for x 4 and so forth, such that ϕ is satisfied. PSPACE-complete problem with increasing interest in the last decade Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 19 / 17

30 Circuit encoding Circuit to propositional formulae in CNF via Tseitin encoding [Tseitin 68] Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 20 / 17

31 Circuit encoding A B D C D B C {{B, D}, {C, D}, { B, C, D}} Circuit to propositional formulae in CNF via Tseitin encoding [Tseitin 68] Introduces additional Tseitin variables Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 20 / 17

32 Circuit encoding E (A B) {{A, E}, {B, E}, { A, B, E}} A B E D C D B C {{B, D}, {C, D}, { B, C, D}} G A E {{ A, G}, { E, G}, {A, E, G}} G F D E {{ D, F }, { E, F }, {D, E, F }} F Circuit to propositional formulae in CNF via Tseitin encoding [Tseitin 68] Introduces additional Tseitin variables Resulting formula is linear in circuit size Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 20 / 17

33 Encode small delay faults E (A B) {{A, E}, {B, E}, { A, B, E}} A B E D C D B C {{B, D}, {C, D}, { B, C, D}} G A E {{ A, G}, { E, G}, {A, E, G}} G F D E {{ D, F }, { E, F }, {D, E, F }} F Encode both timeframes Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 21 / 17

34 Encode small delay faults {{ A 1, G 1}, { E 1, G 1}, {A 1, E 1, G 1}} {{ A 2, G 2}, { E 2, G 2}, {A 2, E 2, G 2}} [A 1, A 2 ] A [B 1, B 2 ] B [C 1, C 2 ] C [E [G 1, G 2 ] 1, E 2 ] {{ D 1, F 1}, { E 1, F 1}, {D 1, E 1, F 1}} {{ D 2, F 2}, { E 2, F 2}, {D 2, E 2, F 2}} [F 1, F 2 ] [D 1, D 2 ] {{B 1, D 1}, {C 1, D 1}, { B 1, C 1, D 1}} {{B 2, D 2}, {C 2, D 2}, { B 2, C 2, D 2}} Encode both timeframes Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 21 / 17

35 Encode small delay faults A [B 1, B 2 ] B [C 1, C 2 ] C [D 1, D 2 ] {{ D 1, F 1}, { E 1, F 1}, {D 1, E 1, F 1}} {{ D 2, F 2}, { E 2, F 2}, {D 2, E 2, F 2}} [F 1, F 2 ] Encode both timeframes and trigger path with unit clauses (in this example: {{ C 1 },{C 2 },{ D 1 },{D 2 },{ F 1 },{F 2 }}) Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 21 / 17

36 Literature [Batcher 68] [Jain et al. 00] K. E. Batcher, Sorting networks and their applications, in AFIPS Spring Joint Computing Conference, pp , ACM, A. Jain, V. Boppana, R. Mukherjee, J. Jain, M. Fujita, and M. S. Hsiao, Testing, Verification, and Diagnosis in the Presence of Unknowns, in VLSI Test [Ravi, Somenzi 04] Symp., pp , K. Ravi and F. Somenzi, Minimal assignments for bounded model [Sauer et al. 11] checking, in Tools and Algorithms for the Construction and Analysis of Systems, vol. 2988, pp , Springer, M. Sauer, A. Czutro, T. Schubert, S. Hillebrecht, I. Polian, and B. Becker, SAT-based analysis of sensitisable paths, in IEEE Design and Diagnostics [Schubert et al. 10] [Tseitin 68] of Electronic Circuits and Systems, pp , T. Schubert, M. Lewis, and B. Becker, antom Solver Description, in SAT Race, G.S. Tseitin, On the complexity of derivations in propositional calculus, in Studies in Constructive Mathematics and Mathematical Logics, Sven Reimer Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving 22 / 17

Enhanced Integration of QBF Solving Techniques

Enhanced Integration of QBF Solving Techniques Enhanced Integration of QBF Solving Techniques Sven Reimer Albert-Ludwigs-Universität Freiburg reimer@informatik.uni-freiburg.de Florian Pigorsch Albert-Ludwigs-Universität Freiburg pigorsch@informatik.uni-freiburg.de

More information

Optimization-based Multiple Target Test Generation for Highly Compacted Test Sets

Optimization-based Multiple Target Test Generation for Highly Compacted Test Sets Optimization-based Multiple Target Test Generation for Highly Compacted Test Sets Stephan Eggersglüß Kenneth Schmitz René Krenz-Bååth Rolf Drechsler Institute of Computer Science University of Bremen 28359

More information

A Novel SAT All-Solutions Solver for Efficient Preimage Computation

A Novel SAT All-Solutions Solver for Efficient Preimage Computation A Novel SAT All-Solutions Solver for Efficient Preimage Computation Bin Li Department of ECE Virginia Tech. Blacksburg, VA, 24061 Michael S. Hsiao Department of ECE Virginia Tech. Blacksburg, VA, 24061

More information

Lecture 2: Symbolic Model Checking With SAT

Lecture 2: Symbolic Model Checking With SAT Lecture 2: Symbolic Model Checking With SAT Edmund M. Clarke, Jr. School of Computer Science Carnegie Mellon University Pittsburgh, PA 15213 (Joint work over several years with: A. Biere, A. Cimatti, Y.

More information

Checking Equivalence for Circuits Containing Incompletely Specified Boxes

Checking Equivalence for Circuits Containing Incompletely Specified Boxes Freiburg, Germany, September 00 Checking Equivalence for Circuits Containing Incompletely Specified Boxes Christoph Scholl Bernd Becker Institute of Computer Science Albert Ludwigs University D 79110 Freiburg

More information

Combinational Equivalence Checking Using Incremental SAT Solving, Output Ordering, and Resets

Combinational Equivalence Checking Using Incremental SAT Solving, Output Ordering, and Resets ASP-DAC 2007 Yokohama Combinational Equivalence Checking Using Incremental SAT Solving, Output ing, and Resets Stefan Disch Christoph Scholl Outline Motivation Preliminaries Our Approach Output ing Heuristics

More information

Exact Logic and Fault Simulation in Presence of Unknowns

Exact Logic and Fault Simulation in Presence of Unknowns Exact Logic and Fault Simulation in Presence of Unknowns Erb, Dominik; Kochte, Michael A.; Sauer, Matthias; Hillebrecht, Stefan; Schubert, Tobias; Wunderlich, Hans-Joachim; Becker, Bernd ACM Transactions

More information

NP-Completeness. Algorithms

NP-Completeness. Algorithms NP-Completeness Algorithms The NP-Completeness Theory Objective: Identify a class of problems that are hard to solve. Exponential time is hard. Polynomial time is easy. Why: Do not try to find efficient

More information

Checking Equivalence for Partial Implementations

Checking Equivalence for Partial Implementations Checking Equivalence for Partial Implementations Christoph Scholl Institute of Computer Science Albert Ludwigs University D 79110 Freiburg im Breisgau, Germany email: scholl@informatik.uni-freiburg.de

More information

8.1 Polynomial-Time Reductions

8.1 Polynomial-Time Reductions 8.1 Polynomial-Time Reductions Classify Problems According to Computational Requirements Q. Which problems will we be able to solve in practice? A working definition. Those with polynomial-time algorithms.

More information

SAT, SMT and QBF Solving in a Multi-Core Environment

SAT, SMT and QBF Solving in a Multi-Core Environment SAT, SMT and QBF Solving in a Multi-Core Environment Bernd Becker Tobias Schubert Faculty of Engineering, Albert-Ludwigs-University Freiburg, 79110 Freiburg im Breisgau, Germany {becker schubert}@informatik.uni-freiburg.de

More information

Recent Advances in SAT-based ATPG: Non-Standard Fault Models, Multi Constraints and Optimization

Recent Advances in SAT-based ATPG: Non-Standard Fault Models, Multi Constraints and Optimization Recent Advances in SAT-based ATPG: Non-Standard Fault Models, Multi Constraints and Optimization Bernd Becker Rolf Drechsler Stephan Eggersglüß Matthias Sauer Department of Computer Science (IIF), Faculty

More information

Decision Procedures. An Algorithmic Point of View. Decision Procedures for Propositional Logic. D. Kroening O. Strichman.

Decision Procedures. An Algorithmic Point of View. Decision Procedures for Propositional Logic. D. Kroening O. Strichman. Decision Procedures An Algorithmic Point of View Decision Procedures for Propositional Logic D. Kroening O. Strichman ETH/Technion Version 1.0, 2007 Part I Decision Procedures for Propositional Logic Outline

More information

Blocked Literals are Universal

Blocked Literals are Universal Blocked Literals are Universal Marijn J.H. Heule 1, Martina Seidl 2, and Armin Biere 2 1 Department of Computer Science, The University of Texas at Austin, USA marijn@cs.utexas.edu 2 Institute for Formal

More information

Network Verification: Reflections from Electronic Design Automation (EDA)

Network Verification: Reflections from Electronic Design Automation (EDA) Network Verification: Reflections from Electronic Design Automation (EDA) Sharad Malik Princeton University MSR Faculty Summit: 7/8/2015 $4 Billion EDA industry EDA Consortium $350 Billion Semiconductor

More information

On Efficient Error Diagnosis of Digital Circuits

On Efficient Error Diagnosis of Digital Circuits On Efficient Error Diagnosis of Digital Circuits Nandini Sridhar Michael S. Hsiao Intel Corporation Bradley Dept. of ECE, Virginia Tech Dupont, WA 98327Blacksburg, VA 246 nandini.sridhar@intel.com mhsiao@vt.edu

More information

Design Diagnosis Using Boolean Satisfiability

Design Diagnosis Using Boolean Satisfiability Design Diagnosis Using Boolean Satisfiability Alexander Smith Andreas Veneris Anastasios Viglas University of Toronto University of Toronto University of Toronto Dept ECE Dept ECE and CS Dept CS Toronto,

More information

Bounded Universal Expansion for Preprocessing QBF

Bounded Universal Expansion for Preprocessing QBF Bounded Universal Expansion for Preprocessing QBF Uwe Bubeck 1 and Hans Kleine Büning 2 1 International Graduate School Dynamic Intelligent Systems, Universität Paderborn, 33098 Paderborn (Germany) bubeck@upb.de

More information

Local Two-Level And-Inverter Graph Minimization without Blowup

Local Two-Level And-Inverter Graph Minimization without Blowup Local Two-Level And-Inverter Graph Minimization without Blowup Robert Brummayer and Armin Biere Institute for Formal Models and Verification Johannes Kepler University Linz, Austria {robert.brummayer,

More information

Circuit versus CNF Reasoning for Equivalence Checking

Circuit versus CNF Reasoning for Equivalence Checking Circuit versus CNF Reasoning for Equivalence Checking Armin Biere Institute for Formal Models and Verification Johannes Kepler University Linz, Austria Equivalence Checking Workshop 25 Madonna di Campiglio,

More information

Propositional Calculus: Boolean Algebra and Simplification. CS 270: Mathematical Foundations of Computer Science Jeremy Johnson

Propositional Calculus: Boolean Algebra and Simplification. CS 270: Mathematical Foundations of Computer Science Jeremy Johnson Propositional Calculus: Boolean Algebra and Simplification CS 270: Mathematical Foundations of Computer Science Jeremy Johnson Propositional Calculus Topics Motivation: Simplifying Conditional Expressions

More information

Chapter 8. NP and Computational Intractability. Slides by Kevin Wayne. Copyright 2005 Pearson-Addison Wesley. All rights reserved.

Chapter 8. NP and Computational Intractability. Slides by Kevin Wayne. Copyright 2005 Pearson-Addison Wesley. All rights reserved. Chapter 8 NP and Computational Intractability Slides by Kevin Wayne. Copyright 2005 Pearson-Addison Wesley. All rights reserved. 1 Algorithm Design Patterns and Anti-Patterns Algorithm design patterns.

More information

On Resolution Proofs for Combinational Equivalence Checking

On Resolution Proofs for Combinational Equivalence Checking On Resolution Proofs for Combinational Equivalence Checking Satrajit Chatterjee Alan Mishchenko Robert Brayton Department of EECS U. C. Berkeley {satrajit, alanmi, brayton}@eecs.berkeley.edu Andreas Kuehlmann

More information

Exploiting Structure in an AIG Based QBF Solver

Exploiting Structure in an AIG Based QBF Solver Exploiting Structure in an AIG Based QBF Solver Florian Pigorsch and Christoph Scholl Albert-Ludwigs-Universität Freiburg, Institut für Informatik, D-79110 Freiburg im Breisgau, Germany {pigorsch, scholl}@informatik.uni-freiburg.de

More information

NP and computational intractability. Kleinberg and Tardos, chapter 8

NP and computational intractability. Kleinberg and Tardos, chapter 8 NP and computational intractability Kleinberg and Tardos, chapter 8 1 Major Transition So far we have studied certain algorithmic patterns Greedy, Divide and conquer, Dynamic programming to develop efficient

More information

Bounded Model Checking with Parametric Data Structures

Bounded Model Checking with Parametric Data Structures Bounded Model Checking with Marc Herbstritt (joint work with Erika Ábrahám, Bernd Becker, Martin Steffen) www.avacs.org August 15 2006 4th International Workshop on Bounded Model Checking Context Automated

More information

! Greed. O(n log n) interval scheduling. ! Divide-and-conquer. O(n log n) FFT. ! Dynamic programming. O(n 2 ) edit distance.

! Greed. O(n log n) interval scheduling. ! Divide-and-conquer. O(n log n) FFT. ! Dynamic programming. O(n 2 ) edit distance. Algorithm Design Patterns and Anti-Patterns Chapter 8 NP and Computational Intractability Algorithm design patterns. Ex.! Greed. O(n log n) interval scheduling.! Divide-and-conquer. O(n log n) FFT.! Dynamic

More information

Integration of Orthogonal QBF Solving Techniques

Integration of Orthogonal QBF Solving Techniques Integration of Orthogonal QBF Solving Techniques Sven Reimer, Florian Pigorsch, Christoph Scholl, and Bernd Becker Albert-Ludwigs-Universität Freiburg, Institut für Informatik, D-79110 Freiburg im Breisgau,

More information

Design of Framework for Logic Synthesis Engine

Design of Framework for Logic Synthesis Engine Design of Framework for Logic Synthesis Engine Tribikram Pradhan 1, Pramod Kumar 2, Anil N S 3, Amit Bakshi 4 1 School of Information technology and Engineering, VIT University, Vellore 632014, Tamilnadu,

More information

Restoring Circuit Structure From SAT Instances. Jarrod A. Roy, Igor L. Markov, and Valeria Bertacco University of Michigan at Ann Arbor

Restoring Circuit Structure From SAT Instances. Jarrod A. Roy, Igor L. Markov, and Valeria Bertacco University of Michigan at Ann Arbor Restoring Circuit Structure From SAT Instances Jarrod A. Roy, Igor L. Markov, and Valeria Bertacco University of Michigan at Ann Arbor Outline Motivation Preliminaries A Generic Circuit Detection Algorithm

More information

QuteSat. A Robust Circuit-Based SAT Solver for Complex Circuit Structure. Chung-Yang (Ric) Huang National Taiwan University

QuteSat. A Robust Circuit-Based SAT Solver for Complex Circuit Structure. Chung-Yang (Ric) Huang National Taiwan University QuteSat A Robust Circuit-Based SAT Solver for Complex Circuit Structure Chung-Yang (Ric) Huang National Taiwan University To appear: DATE 27 2/1/27 Fact Sheet (Background) Boolean Satisfiability (SAT)

More information

WITH integrated circuits, especially system-on-chip

WITH integrated circuits, especially system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 11, NOVEMBER 2006 1227 Improving Linear Test Data Compression Kedarnath J. Balakrishnan, Member, IEEE, and Nur A. Touba, Senior

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

On the Relation between SAT and BDDs for Equivalence Checking

On the Relation between SAT and BDDs for Equivalence Checking On the Relation between SAT and BDDs for Equivalence Checking Sherief Reda 1 Rolf Drechsler 2 Alex Orailoglu 1 1 Computer Science & Engineering Department University of California, San Diego La Jolla,

More information

! Greed. O(n log n) interval scheduling. ! Divide-and-conquer. O(n log n) FFT. ! Dynamic programming. O(n 2 ) edit distance.

! Greed. O(n log n) interval scheduling. ! Divide-and-conquer. O(n log n) FFT. ! Dynamic programming. O(n 2 ) edit distance. Algorithm Design Patterns and Anti-Patterns 8. NP and Computational Intractability Algorithm design patterns. Ex.! Greed. O(n log n) interval scheduling.! Divide-and-conquer. O(n log n) FFT.! Dynamic programming.

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

Seminar decision procedures: Certification of SAT and unsat proofs

Seminar decision procedures: Certification of SAT and unsat proofs Seminar decision procedures: Certification of SAT and unsat proofs Wolfgang Nicka Technische Universität München June 14, 2016 Boolean satisfiability problem Term The boolean satisfiability problem (SAT)

More information

Giovanni De Micheli. Integrated Systems Centre EPF Lausanne

Giovanni De Micheli. Integrated Systems Centre EPF Lausanne Two-level Logic Synthesis and Optimization Giovanni De Micheli Integrated Systems Centre EPF Lausanne This presentation can be used for non-commercial purposes as long as this note and the copyright footers

More information

Boolean Functions (Formulas) and Propositional Logic

Boolean Functions (Formulas) and Propositional Logic EECS 219C: Computer-Aided Verification Boolean Satisfiability Solving Part I: Basics Sanjit A. Seshia EECS, UC Berkeley Boolean Functions (Formulas) and Propositional Logic Variables: x 1, x 2, x 3,, x

More information

LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS. Gary D. Hachtel University of Colorado. Fabio Somenzi University of Colorado.

LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS. Gary D. Hachtel University of Colorado. Fabio Somenzi University of Colorado. LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS by Gary D. Hachtel University of Colorado Fabio Somenzi University of Colorado Springer Contents I Introduction 1 1 Introduction 5 1.1 VLSI: Opportunity and

More information

Exercises Computational Complexity

Exercises Computational Complexity Exercises Computational Complexity March 22, 2017 Exercises marked with a are more difficult. 1 Chapter 7, P and NP Exercise 1. Suppose some pancakes are stacked on a surface such that no two pancakes

More information

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Power-Mode-Aware Buffer Synthesis for Low-Power

More information

MajorSat: A SAT Solver to Majority Logic

MajorSat: A SAT Solver to Majority Logic MajorSat: A SAT Solver to Majority Logic Speaker : Ching-Yi Huang Authors: Yu-Min Chou, Yung-Chih Chen *, Chun-Yao Wang, Ching-Yi Huang National Tsing Hua University, Taiwan * Yuan Ze University, Taiwan

More information

Symbolic Methods. The finite-state case. Martin Fränzle. Carl von Ossietzky Universität FK II, Dpt. Informatik Abt.

Symbolic Methods. The finite-state case. Martin Fränzle. Carl von Ossietzky Universität FK II, Dpt. Informatik Abt. Symbolic Methods The finite-state case Part I Martin Fränzle Carl von Ossietzky Universität FK II, Dpt. Informatik Abt. Hybride Systeme 02917: Symbolic Methods p.1/34 What you ll learn How to use and manipulate

More information

Normal Forms for Boolean Expressions

Normal Forms for Boolean Expressions Normal Forms for Boolean Expressions A NORMAL FORM defines a class expressions s.t. a. Satisfy certain structural properties b. Are usually universal: able to express every boolean function 1. Disjunctive

More information

Efficient Circuit to CNF Conversion

Efficient Circuit to CNF Conversion Efficient Circuit to CNF Conversion Panagiotis Manolios and Daron Vroon College of Computing, Georgia Institute of Technology, Atlanta, GA, 30332, USA http://www.cc.gatech.edu/home/{manolios,vroon} Abstract.

More information

Search-space Aware Learning Techniques for Unbounded Model Checking and Path Delay Testing

Search-space Aware Learning Techniques for Unbounded Model Checking and Path Delay Testing Search-space Aware Learning Techniques for Unbounded Model Checking and Path Delay Testing Kameshwar Chandrasekar Dissertation submitted to the Faculty of Virginia Polytechnic Institute and State University

More information

Multi-decomposition: Theorems and Algorithms

Multi-decomposition: Theorems and Algorithms Multi-decomposition: Theorems and Algorithms Chih-Jen Hsu, Abstract Multi-decomposition is the general form of bidecomposition, and decomposes a logic function into multiple sub-functions driving to the

More information

CDCL SAT Solvers. Joao Marques-Silva. Theory and Practice of SAT Solving Dagstuhl Workshop. April INESC-ID, IST, ULisbon, Portugal

CDCL SAT Solvers. Joao Marques-Silva. Theory and Practice of SAT Solving Dagstuhl Workshop. April INESC-ID, IST, ULisbon, Portugal CDCL SAT Solvers Joao Marques-Silva INESC-ID, IST, ULisbon, Portugal Theory and Practice of SAT Solving Dagstuhl Workshop April 2015 The Success of SAT Well-known NP-complete decision problem [C71] The

More information

On Computing Minimum Size Prime Implicants

On Computing Minimum Size Prime Implicants On Computing Minimum Size Prime Implicants João P. Marques Silva Cadence European Laboratories / IST-INESC Lisbon, Portugal jpms@inesc.pt Abstract In this paper we describe a new model and algorithm for

More information

Preprocessing in Pseudo-Boolean Optimization: An Experimental Evaluation

Preprocessing in Pseudo-Boolean Optimization: An Experimental Evaluation Preprocessing in Pseudo-Boolean Optimization: An Experimental Evaluation Ruben Martins Inês Lynce Vasco Manquinho IST/INESC-ID, Technical University of Lisbon, Portugal 20/09/2009 Lisbon, Portugal Motivation

More information

Redundant States in Sequential Circuits

Redundant States in Sequential Circuits Redundant States in Sequential Circuits Removal of redundant states is important because Cost: the number of memory elements is directly related to the number of states Complexity: the more states the

More information

Watching Clauses in Quantified Boolean Formulae

Watching Clauses in Quantified Boolean Formulae Watching Clauses in Quantified Boolean Formulae Andrew G D Rowley University of St. Andrews, Fife, Scotland agdr@dcs.st-and.ac.uk Abstract. I present a way to speed up the detection of pure literals and

More information

On Resolution Proofs for Combinational Equivalence

On Resolution Proofs for Combinational Equivalence 33.4 On Resolution Proofs for Combinational Equivalence Satrajit Chatterjee Alan Mishchenko Robert Brayton Department of EECS U. C. Berkeley {satrajit, alanmi, brayton}@eecs.berkeley.edu Andreas Kuehlmann

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

Chapter 10 Part 1: Reduction

Chapter 10 Part 1: Reduction //06 Polynomial-Time Reduction Suppose we could solve Y in polynomial-time. What else could we solve in polynomial time? don't confuse with reduces from Chapter 0 Part : Reduction Reduction. Problem X

More information

Computability Theory

Computability Theory CS:4330 Theory of Computation Spring 2018 Computability Theory Other NP-Complete Problems Haniel Barbosa Readings for this lecture Chapter 7 of [Sipser 1996], 3rd edition. Sections 7.4 and 7.5. The 3SAT

More information

Decision Procedures. An Algorithmic Point of View. Bit-Vectors. D. Kroening O. Strichman. Version 1.0, ETH/Technion

Decision Procedures. An Algorithmic Point of View. Bit-Vectors. D. Kroening O. Strichman. Version 1.0, ETH/Technion Decision Procedures An Algorithmic Point of View Bit-Vectors D. Kroening O. Strichman ETH/Technion Version 1.0, 2007 Part VI Bit-Vectors Outline 1 Introduction to Bit-Vector Logic 2 Syntax 3 Semantics

More information

Versatile SAT-based Remapping for Standard Cells

Versatile SAT-based Remapping for Standard Cells Versatile SAT-based Remapping for Standard Cells Alan Mishchenko Robert Brayton Department of EECS, UC Berkeley {alanmi, brayton@berkeley.edu Thierry Besson Sriram Govindarajan Harm Arts Paul van Besouw

More information

Strengthening Semantic-tree Method in evaluating QBFs

Strengthening Semantic-tree Method in evaluating QBFs Published in: Proceeding of 6th International Workshop on Boolean Problems (IWSBP), Freiberg, Germany, Sept. 2004, pp. 257-264 Strengthening Semantic-tree Method in evaluating QBFs Mohammad GhasemZadeh,

More information

Using Synthesis Techniques in SAT Solvers

Using Synthesis Techniques in SAT Solvers 1. Introduction Using Synthesis Techniques in SAT Solvers Rolf Drechsler Institute of Computer Science University of Bremen 28359 Bremen, Germany drechsle@informatik.uni-bremen.de Abstract In many application

More information

Deductive Methods, Bounded Model Checking

Deductive Methods, Bounded Model Checking Deductive Methods, Bounded Model Checking http://d3s.mff.cuni.cz Pavel Parízek CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Deductive methods Pavel Parízek Deductive Methods, Bounded

More information

Automated Testing and Debugging of SAT and QBF Solvers

Automated Testing and Debugging of SAT and QBF Solvers Automated Testing and Debugging of SAT and QBF Solvers JKU Linz, Austria 13 th International Conference on Theory and Applications of Satisfiability Testing July 13, 2010 Edinburgh, Scotland, UK Outline

More information

An Implication-based Method to Detect Multi-Cycle Paths in Large Sequential Circuits

An Implication-based Method to Detect Multi-Cycle Paths in Large Sequential Circuits An Implication-based Method to etect Multi-Cycle Paths in Large Sequential Circuits Hiroyuki Higuchi Fujitsu Laboratories Ltd. 4--, Kamikodanaka, Nakahara-Ku, Kawasaki 2-8588, Japan higuchi@flab.fujitsu.co.jp

More information

Discrete Optimization with Decision Diagrams

Discrete Optimization with Decision Diagrams Discrete Optimization with Decision Diagrams J. N. Hooker Joint work with David Bergman, André Ciré, Willem van Hoeve Carnegie Mellon University Australian OR Society, May 2014 Goal Find an alternative

More information

Foundations of AI. 9. Predicate Logic. Syntax and Semantics, Normal Forms, Herbrand Expansion, Resolution

Foundations of AI. 9. Predicate Logic. Syntax and Semantics, Normal Forms, Herbrand Expansion, Resolution Foundations of AI 9. Predicate Logic Syntax and Semantics, Normal Forms, Herbrand Expansion, Resolution Wolfram Burgard, Andreas Karwath, Bernhard Nebel, and Martin Riedmiller 09/1 Contents Motivation

More information

CS-E3200 Discrete Models and Search

CS-E3200 Discrete Models and Search Shahab Tasharrofi Department of Information and Computer Science, Aalto University Lecture 7: Complete and local search methods for SAT Outline Algorithms for solving Boolean satisfiability problems Complete

More information

FZQSAT: A QSAT Solver for QBFs in Prenex NNF (A Useful Tool for Circuit Verification)

FZQSAT: A QSAT Solver for QBFs in Prenex NNF (A Useful Tool for Circuit Verification) FZQSAT: A QSAT Solver for QBFs in Prenex NNF (A Useful Tool for Circuit Verification) Mohammad GhasemZadeh, Volker Klotz and Christoph Meinel FB-IV Informatik, University of Trier, Germany {GhasemZadeh,

More information

NP-Hardness. We start by defining types of problem, and then move on to defining the polynomial-time reductions.

NP-Hardness. We start by defining types of problem, and then move on to defining the polynomial-time reductions. CS 787: Advanced Algorithms NP-Hardness Instructor: Dieter van Melkebeek We review the concept of polynomial-time reductions, define various classes of problems including NP-complete, and show that 3-SAT

More information

Finite Model Generation for Isabelle/HOL Using a SAT Solver

Finite Model Generation for Isabelle/HOL Using a SAT Solver Finite Model Generation for / Using a SAT Solver Tjark Weber webertj@in.tum.de Technische Universität München Winterhütte, März 2004 Finite Model Generation for / p.1/21 is a generic proof assistant: Highly

More information

ECE260B CSE241A Winter Logic Synthesis

ECE260B CSE241A Winter Logic Synthesis ECE260B CSE241A Winter 2007 Logic Synthesis Website: /courses/ece260b-w07 ECE 260B CSE 241A Static Timing Analysis 1 Slides courtesy of Dr. Cho Moon Introduction Why logic synthesis? Ubiquitous used almost

More information

Extended Finite-State Machine Induction using SAT-Solver

Extended Finite-State Machine Induction using SAT-Solver Extended Finite-State Machine Induction using SAT-Solver Vladimir Ulyantsev, Fedor Tsarev ulyantsev@rain.ifmo.ru, tsarev@rain.ifmo.ru St. Petersburg National Research University of IT, Mechanics and Optics

More information

W4231: Analysis of Algorithms

W4231: Analysis of Algorithms W4231: Analysis of Algorithms 11/23/99 NP-completeness of 3SAT, Minimum Vertex Cover, Maximum Independent Set, Boolean Formulae A Boolean formula is an expression that we can build starting from Boolean

More information

SEPP: a New Compact Three-Level Logic Form

SEPP: a New Compact Three-Level Logic Form SEPP: a New Compact Three-Level Logic Form Valentina Ciriani Department of Information Technologies Università degli Studi di Milano, Italy valentina.ciriani@unimi.it Anna Bernasconi Department of Computer

More information

SAT-BASED ATPG FOR DIGITAL INTEGRATED CIRCUITS BASED ON MULTIPLE OBSERVATIONS

SAT-BASED ATPG FOR DIGITAL INTEGRATED CIRCUITS BASED ON MULTIPLE OBSERVATIONS SAT-BASED ATPG FOR DIGITAL INTEGRATED CIRCUITS BASED ON MULTIPLE OBSERVATIONS SAT-BASED ATPG FOR DIGITAL INTEGRATED CIRCUITS BASED ON MULTIPLE OBSERVATIONS BY DAVID WING YIN LEUNG, B. ENG. & MGT. (COMPUTER)

More information

Application of Binary Decision Diagram in digital circuit analysis.

Application of Binary Decision Diagram in digital circuit analysis. Application of Binary Decision Diagram in digital circuit analysis. Jyoti Kukreja University of Southern California For Dr. James Ellison Abstract: Binary Decision Diagrams (BDDs) are one of the biggest

More information

ALGORITHMS EXAMINATION Department of Computer Science New York University December 17, 2007

ALGORITHMS EXAMINATION Department of Computer Science New York University December 17, 2007 ALGORITHMS EXAMINATION Department of Computer Science New York University December 17, 2007 This examination is a three hour exam. All questions carry the same weight. Answer all of the following six questions.

More information

Where Can We Draw The Line?

Where Can We Draw The Line? Where Can We Draw The Line? On the Hardness of Satisfiability Problems Complexity 1 Introduction Objectives: To show variants of SAT and check if they are NP-hard Overview: Known results 2SAT Max2SAT Complexity

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Logic Optimization Eli Bozorgzadeh Computer Science Department-UCI Hardware compilation flow HDL RTL Synthesis netlist Logic synthesis library netlist Physical design

More information

Unit 8: Coping with NP-Completeness. Complexity classes Reducibility and NP-completeness proofs Coping with NP-complete problems. Y.-W.

Unit 8: Coping with NP-Completeness. Complexity classes Reducibility and NP-completeness proofs Coping with NP-complete problems. Y.-W. : Coping with NP-Completeness Course contents: Complexity classes Reducibility and NP-completeness proofs Coping with NP-complete problems Reading: Chapter 34 Chapter 35.1, 35.2 Y.-W. Chang 1 Complexity

More information

Efficient Synthesis of Production Schedules by Optimization of Timed Automata

Efficient Synthesis of Production Schedules by Optimization of Timed Automata Efficient Synthesis of Production Schedules by Optimization of Timed Automata Inga Krause Institute of Automatic Control Engineering Technische Universität München inga.krause@mytum.de Joint Advanced Student

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

ECE 595Z Digital Systems Design Automation

ECE 595Z Digital Systems Design Automation ECE 595Z Digital Systems Design Automation Anand Raghunathan, raghunathan@purdue.edu How do you design chips with over 1 Billion transistors? Human designer capability grows far slower than Moore s law!

More information

Chapter 8. NP-complete problems

Chapter 8. NP-complete problems Chapter 8. NP-complete problems Search problems E cient algorithms We have developed algorithms for I I I I I finding shortest paths in graphs, minimum spanning trees in graphs, matchings in bipartite

More information

Bit-Level Optimization of Adder-Trees for Multiple Constant Multiplications for Efficient FIR Filter Implementation

Bit-Level Optimization of Adder-Trees for Multiple Constant Multiplications for Efficient FIR Filter Implementation Bit-Level Optimization of Adder-Trees for Multiple Constant Multiplications for Efficient FIR Filter Implementation 1 S. SRUTHI, M.Tech, Vlsi System Design, 2 G. DEVENDAR M.Tech, Asst.Professor, ECE Department,

More information

EECS 219C: Formal Methods Binary Decision Diagrams (BDDs) Sanjit A. Seshia EECS, UC Berkeley

EECS 219C: Formal Methods Binary Decision Diagrams (BDDs) Sanjit A. Seshia EECS, UC Berkeley EECS 219C: Formal Methods Binary Decision Diagrams (BDDs) Sanjit A. Seshia EECS, UC Berkeley Boolean Function Representations Syntactic: e.g.: CNF, DNF (SOP), Circuit Semantic: e.g.: Truth table, Binary

More information

New Encodings of Pseudo-Boolean Constraints into CNF

New Encodings of Pseudo-Boolean Constraints into CNF New Encodings of Pseudo-Boolean Constraints into CNF Olivier Bailleux, Yacine Boufkhad, Olivier Roussel olivier.bailleux@u-bourgogne.fr boufkhad@liafa.jussieu.fr roussel@cril.univ-artois.fr New Encodings

More information

PowerRanger: Assessing Circuit Vulnerability to Power Attacks Using SAT-Based Static Analysis

PowerRanger: Assessing Circuit Vulnerability to Power Attacks Using SAT-Based Static Analysis PowerRanger: Assessing Circuit Vulnerability to Power Attacks Using SAT-Based Static Analysis Jeff Hao, Valeria Bertacco Department of Computer Science and Engineering University of Michigan Ann Arbor,

More information

Coverage-based Trace Signal Selection for Fault Localisation in Post-Silicon Validation

Coverage-based Trace Signal Selection for Fault Localisation in Post-Silicon Validation Coverage-based Trace Signal Selection for Fault Localisation in Post-Silicon Validation Charlie Shucheng Zhu 1, Georg Weissenbacher 2, and Sharad Malik 1 1 Princeton University 2 Vienna University of Technology,

More information

Algorithms for SAT and k-sat problems

Algorithms for SAT and k-sat problems Algorithms for SAT and k-sat problems On solutions that don t require bounded treewidth Pauli Miettinen Pauli.Miettinen@cs.Helsinki.FI Department of Computer Science - p. 1/23 - p. 2/23 Problem definitions

More information

Introduction to Parameterized Complexity

Introduction to Parameterized Complexity Introduction to Parameterized Complexity M. Pouly Department of Informatics University of Fribourg, Switzerland Internal Seminar June 2006 Outline Introduction & Motivation The Misery of Dr. O The Perspective

More information

Combinational Equivalence Checking Using Incremental SAT Solving, Output Ordering, and Resets

Combinational Equivalence Checking Using Incremental SAT Solving, Output Ordering, and Resets Combinational Equivalence Checking Using Incremental SAT Solving, Output Ordering, and Resets Stefan Disch Christoph Scholl Albert-Ludwigs-University Freiburg Institute of Computer Science Georges-Köhler-Allee

More information

Range Restriction for General Formulas

Range Restriction for General Formulas Range Restriction for General Formulas 1 Range Restriction for General Formulas Stefan Brass Martin-Luther-Universität Halle-Wittenberg Germany Range Restriction for General Formulas 2 Motivation Deductive

More information

A First Step Towards a Unified Proof Checker for QBF

A First Step Towards a Unified Proof Checker for QBF A First Step Towards a Unified Proof Checker for QBF Toni Jussila 1, Armin Biere 1, Carsten Sinz 2, Daniel Kröning 3, and Christoph M. Wintersteiger 3 1 Formal Models and Verification, Johannes Kepler

More information

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum and Minerals Dhahran 326, Saudi Arabia emails:{aimane, alutaibi}@ccse.kfupm.edu.sa

More information

arxiv: v1 [cs.ds] 10 Oct 2014

arxiv: v1 [cs.ds] 10 Oct 2014 Faster Sorting Networks for 17 19 and 20 Inputs Thorsten Ehlers and Mike Müller Institut für Informatik Christian-Albrechts-Universität zu Kiel D-24098 Kiel Germany. {themimu}@informatik.uni-kiel.de arxiv:1410.2736v1

More information

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system. Assignment No. 1 1. State advantages of digital system over analog system. 2. Convert following numbers a. (138.56) 10 = (?) 2 = (?) 8 = (?) 16 b. (1110011.011) 2 = (?) 10 = (?) 8 = (?) 16 c. (3004.06)

More information

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method

R a) Simplify the logic functions from binary to seven segment display code converter (8M) b) Simplify the following using Tabular method SET - 1 1. a) Convert the decimal number 250.5 to base 3, base 4 b) Write and prove de-morgan laws c) Implement two input EX-OR gate from 2 to 1 multiplexer (3M) d) Write the demerits of PROM (3M) e) What

More information

vs. state-space search Algorithm A Algorithm B Illustration 1 Introduction 2 SAT planning 3 Algorithm S 4 Experimentation 5 Algorithms 6 Experiments

vs. state-space search Algorithm A Algorithm B Illustration 1 Introduction 2 SAT planning 3 Algorithm S 4 Experimentation 5 Algorithms 6 Experiments 1 2 vs. state-space search 3 4 5 Algorithm A Algorithm B Illustration 6 7 Evaluation Strategies for Planning as Satisfiability Jussi Rintanen Albert-Ludwigs-Universität Freiburg, Germany August 26, ECAI

More information

Simulating Circuit-Level Simplifications on CNF

Simulating Circuit-Level Simplifications on CNF Journal of Automated Reasoning manuscript No. (will be inserted by the editor) Simulating Circuit-Level Simplifications on CNF Matti Järvisalo Armin Biere Marijn Heule Received: date / Accepted: date Abstract

More information