Compatible Class Encoding in Roth-Karp Decomposition for Two-Output LUT Architecture

Size: px
Start display at page:

Download "Compatible Class Encoding in Roth-Karp Decomposition for Two-Output LUT Architecture"

Transcription

1 Compatible Class Encoding in Roth-Karp Decomposition for Two-Output LUT Architecture Juinn-Dar Huang, Jing-Yang Jou and Wen-Zen Shen Department of Electronics Engineering, National Chiao Tung Uniersity, Hsinchu, Taiwan, R.O.C. Abstract. How to select the lambda set?. How to encode the compatible classes? Roth-Karp decomposition one of the most popular techniques for LUT-based FPGA technology mapping because it can decompose a node into a set of nodes with fewer numbers of fanins. In th paper, we show how to formulate the compatible class encoding problem in Roth-Karp decomposition as a symbolic-output encoding problem in order to exploit the feature of the two-output LUT architecture. Based on th formulation, we also deelop an encoding algorithm to minimize the number of LUT's required to implement the logic circuit. Experimental results show that our encoding algorithm can produce proming results in the logic synthes enironment for the two-output LUT architecture.. Introduction Field Programmable Gate Arrays (FPGA's) are modern logic deices which can be programmed by the users to implement their own logic circuits. Because of the short turnaround time compared with that of the standard ASIC process, they become increasingly popular in rapid system prototyping recently. Many FPGA architectures hae been proposed and the Look-Up Table(LUT)-based architecture the most popular one. It consts of many configurable LUT's and each LUT can implement any k-input function. Besides, there another similar LUT-based FPGA architecture which implements not only single-output functions but also two-output functions. A LUT of th architecture can implement either one k-input function or two (k-)-input functions with totally k inputs. For example, in Xilinx XC000 architecture[], k equal to. Many algorithms deeloped for LUT-based FPGA technology mapping hae been proposed in preious studies[- ]. Most of these algorithms first decompose the gien Boolean network to be k-feasible. A Boolean network said to be k-feasible if all nodes in the network are k-feasible, and a node said to be k-feasible if the number of its fanins no more than k. Hence, the corresponding circuit can be directly realized by an one-to-one mapping between nodes and LUT's. If there are some nodes that are not k-feasible, they then need to be decomposed to be a set of k-feasible nodes. Many proposed decomposition techniques, such as AND-OR decomposition, cofactoring, djoint decomposition, if-then-else DAG, communication complexity reduction[], and Roth-Karp decomposition[], are widely used here. In th paper, we The algorithm proposed in [] proides a heurtic to choose a good lambda set. Another algorithm proposed in [0] formulates Problem as a symbolic-input encoding problem. Howeer, both of these two algorithms only consider the singleoutput LUT architecture. In th paper, we propose a new formulation for Problem and deelop a new compatible class encoding algorithm which can fully exploit the feature of the two-output LUT architecture. Th paper organized as follows. Section describes the compatible class encoding problem in Roth-Karp decomposition. In Section, our new encoding algorithm which addresses the two-output LUT architecture gien in detail. Section shows experimental results and the concluding remarks are gien in Section.. Compatible Class Encoding Definition.: Let X and Y be two sets of binary ariables, X Y = ; B x and B y are Cartesian products spanned by X and Y, respectiely. Then, gien a completely specified function F : B x B y B, we say that x B x are compatible with respect to F, denoted as x, if y B y, (x, y) and (x, y) B x B y such that F(x, y) = F(x, y). + Each element B x called a l minterm. All mutually compatible λ minterms can be grouped together to form a compatible class, and all compatible classes are pairwely djoint. Theorem.: Gien two functions α : B x W and G : W B y B, such that (x, y) B x B y, F(x, y) = G( α (x), y) () holds if and only if x B x, α (x ) = α (x ) x () + α a function with binary inputs and a symbolic output. X called the bound (l) set. Y called the free (m) set. Property.: The number of the admsible alues in W, W, must be no less than the number of compatible classes in X. + From Property., minimum W equal to the number of compatible classes in X and can be obtained by redefining Eq. () as: only focus on Roth-Karp decomposition. Gien the LUT-based FPGA as the target architecture, two interesting problems in Roth-Karp decomposition should be noticed: x B x, α (x ) = α (x ) x (')

2 In order to implement α by binary logic, the symbolicoutput encoding for W has to be performed. At least t = The admsible alues of W are defined to be the id's of the compatible classes. Thus, α maps each λ minterm to the id of log w binary-output functions, α,..., and α t, are required to encode α. Hence, Eq. () can be rewritten as: F(x, y) = G'(α (x) (x),..., α t (x), y) (') It clear that the djoint Roth-Karp decomposition can be used to reduce the number of fanins of a function under the condition of t < X. From the aboe dcussion, we find that Roth-Karp decomposition only requires that λ minterms belonging to the same compatible class are encoded with the same code, i.e., if x, then α (x ) = α (x ). In other words, to hae a correct Roth-Karp decomposition, we only need to assign a unique code to each compatible class and do not hae to care what the code. Howeer, different encoding combinations for the compatible classes will result in different α,..., α t, and G'. There a compatible class encoding strategy being proposed in [0]. It models the compatible class encoding problem as the classical symbolic-input encoding problem. Many exting techniques are then used to encode the compatible classes for minimizing the literal counts of G'. Because it assumes that the better decomposition quality can be obtained if the resulting G' simple, i.e., has smaller number of literals. Howeer, th strategy only concentrates on singleoutput functions. Furthermore, it did not properly take adantage of the feature of either the single-output or the twooutput LUT architecture. To exploit the property of the two-output LUT architecture, we formulate the compatible class encoding problem as: To find a set of compatible class encoding patterns to encode as many α functions to be independent of at least one of their the compatible class it belongs. In th example, ( log ) binary-output functions α, and α, are needed to implement α. Suppose these symbolic alues are randomly encoded without any strategy; for instance, each symbolic alue encoded with its binary bit pattern. Then, the encoding of α and the resulting Boolean functions are shown below: class id α α α xx α = xxxx xx xx α = xxx + xxx + xxx α = xx + xxx + xxx + xxx + xxx + xxx Obiously, three LUT's are required to implement these α functions since all of them depend on all four input ariables. Example : As in Example, a better encoding of α used here. The encoding of α and the resulting Boolean functions are shown below: input ariables as possible. class id α α α Thus, two α functions which are independent of at least one of their input ariables can be merged into a two-output k-lut In fact, our formulation of th encoding problem a kind of 0 0 xx the symbolic-output encoding because we encode the symbolic output ariable W into binary-output encoding functions α, α,..., and α t.. Our Compatible Class Encoding Algorithm For the easy illustration, the LUT used in th section either a -LUT or a two-output -LUT. Example : Gien a compatible class encoding function α with a set of inputs X as the λ set and a symbolic output ariable W: α : B x W, where X= { x,x,x,x } and W ={0,,,,} xx W α = xx + xx xx xx α = xxx + xxx α = xxxx We find that α independent of x and x, and α independent of x, respectiely. Therefore, α and α can be merged into a two-output LUT. Two instead of three LUT's are thus required to implement these α functions. Now we gie some definitions and derie some properties from them. Based on these definitions and properties, our compatible class encoding algorithm then constructed.

3 Definition.: {(0:)}. After dcarding two useless ISx's ( and W) and An independent set with respect to an input ariable x, exploiting the equialence relation among dichotomies, the size denoted as ISx, defined as a set of class id's such that there of Set_Dx gien by Property.: exts a binary-input/output function α being independent of x Property.: where Set _ MIS Set_ ISx x ON the ON - set of α, α = { m m a λ minterm where α( m) ISx} Set_ Dx =.+ = + Example : Property.: In Example, {0, } an ISx. Because we can find a A dichotomy Dx = (l:r) can be used to make the encoding function α = xx + xx as illustrated in Example satfies function α i independent of x if the i-th bit of the code of the Definition.. compatible class c equal to: { Definition.: if the id of, 0 if the id of c c r l. An ISx a minimum independent set with respect to the + input ariable x, denoted as MISx, if and only if Example 6: T, T ISx and T T no lo nger an ISx. + In Example, there exts a dichotomy, D = (0:) Set_Dx. If α encoded by D: Example : In Example, {0, } and {,, } are two MISx 's by class id α α α Definition Property.: Gien two λ minterms m and m' where m' the same with - - xx m except that it complemented at the position of ariable x Let α (m) = k and α (m') = k', then k and k' must belong to the same MISx by Definition.. + α = xx + xx Property.: It clear that α independent of x under th encoding Two MISx's are either identical or djoint, and the union pattern. set of all MISx's W. + Because we do not care which ariable x that α i From Property. and., we can easily deelop an independent of, dichotomies from different Set_Dx's can be algorithm to find all MIS's for a gien ariable x. merged into a set Set_D. Then, we formulate the compatible Example : class encoding problem to satfy the following three encoding The set, Set_MISx, containing all MIS's with respect to constraints: each ariable x of Example shown below, respectiely.. Each compatible class must be encoded with a dtinct code. Set_ MIS = {{0,},{,,}} Set x _ MIS x = {{0,},{,,}}. Only minimum number(t) of encoding bits are allowed to Set_ MIS = {{0,},{,,}} Set x _ MIS be used. x = {{0,,,,}}. Use as many dichotomies for the encoding as possible. Property.: Constraint gien to satfy the definition of Roth-Karp Each combination of an arbitrary number of MISx's decomposition. Constraint gien to minimize the number represents an ISx. So the size of the set Set_ISx containing all of LUT's used to implement α functions. Constraint gien ISx's equal to Set _ MIS x. + to exploit the feature of the two-output LUT architecture. Thus, Set_ISx can be deried from Set_MISx by applying Property.. For example, Set_ISx in Example {, {0, }, {,, }, {0,,,, }}. Notice that and {0,,,, } (W) are dcarded since they are useless for encoding purpose described later. At th point, we introduce a terminology, dichotomy, which first used for symbolic-input encoding in []. The notion of dichotomy slightly modified here for conenience. Definition.: A dichotomy with respect to x, Dx, gien by an ordered pair, denoted as (l:r), where l an ISx and r W- ISx. + Definition.: Two dichotomies, D = (l :r ) and D = (l :r ), are equialent if (l = l and r = r ) or (l = r and r = l ). + From Definition. and., a set of dtinct, i.e., nonequialent, dichotomies with respect to x, Set_Dx, can be generated from ISx. For instance, Set_Dx in Example While merging Set_Dx's into Set_D, if two equialent dichotomies, D and D, are from two different Set_Dx and Set_Dx, respectiely, then α i encoded by either D or D independent of both x and x. In th case, the number of fanins of α i can be further reduced and the number of interconnection nets required for routing also reduced. Therefore, a dichotomy which independent of the most inputs should be chosen for encoding first. A procedure, Exhaustie_Search_Encoding, deeloped to encode the compatible classes under three constraints described aboe. It first tries to find the maximum number(t) of dichotomies for encoding to satfy Constraint and. If it fails, it reduces the number of encoding dichotomies by and tries again. Th procedure guarantees to get the optimum encoding solution, i.e., the maximum number of dichotomies can be found to encode α functions without iolating Constraint and.

4 Example 7:. Experimental Results By reexamining Example, we find that two dichotomies The algorithm described aboe has been implemented in (0:) and (0:) can be found by SIS enironment which deeloped by UC Berkeley[]. Exhaustie_Search_Encoding to encode α and α, respectiely. Besides, our algorithm integrated into a ersion of Roth-Karp α then encoded to satfy Constraint. Therefore, the decomposition[], which has the lambda set selection strategy, to enhance its performance. In order to inestigate the quality encoding result identical with that illustrated in Example. of our encoding algorithm, denoted as Algorithm, two The time efficiency of th procedure not that good. experiments are conducted oer a large set of MCNC and Suppose there are d dichotomies being used to encode t bits, ISCAS benchmark circuits to compare the results with those of then the worst case, in which no one can be used for encoding, another two ersions of Roth-Karp decomposition. Algorithm d d d takes C has neither the lambda set selection strategy nor the compatible t + Ct + L + C iterations when d > t. We find that the class encoding strategy and used in m-pga[]. Algorithm number of iterations dramatically increases as d increases. has only the lambda set selection strategy and implemented Thus, a more efficient algorithm should be deeloped for the in []. The target architecture the Xilinx XC000 FPGA practical usage. Consider an example that the numbers of the which can implement either one -input function or two -input compatible classes and dichotomies are 6 and, respectiely. t functions with totally inputs as described aboe. The initial then equal to in th case. The search space of finding the networks of one experiment are two-leel circuits and are optimum encoding solution for th example shown in Fig.. obtained by performing the SIS script: Any path from node S to the nodes of the third leel in the tree collapse represents a possible combination of three dichotomies. simplify -d -m nocomp Similarly, any path from node S to the nodes of the second The initial networks of another experiment are multi-leel leel in the tree represents a possible combination of two circuits and are obtained by performing the SIS standard multileel optimization script. After obtaining the initial networks, dichotomies. S the same mapping script: C iterations xl_k_decomp /*arious algorithms applied here */ xl_partition -tm C iterations xl_coer xl_merge -l C iterations used in both experiments. Th script first decomposes the Fig. : The search space of finding the maximum number of dichotomies for the encoding. network to be -feasible. Algorithm,, and are applied here. Thus, each node can be implemented by a -LUT. Then, it tries to merge pairs of nodes which can be implemented by two-output -LUT's as many as possible. Both experiments run on a SUN SPARC workstation and the results are shown in Table I and II, respectiely. In th example, iterations are required to find the optimum solution in the worst case. Suppose that the first dichotomy (0:), we find that it impossible to dtinguh class ~ no matter what the remaining dichotomies are because only two more bits are allowed to use. Thus, the subtree rooted at the first dichotomy can be pruned without affecting the search of the optimum solution. Th pruning algorithm illustrated in Fig.. Pruned =(0:) S Fig. : An example of the pruning strategy on the search space of the dichotomies. Hence, the pruning algorithm can be formally described as: At any node of the search space of the dichotomies, if all the compatible classes cannot be partitioned into sets whose sizes remaining bits are no more than by using the current dichotomy, then the subtree rooted at th node pruned. Th pruning algorithm also guarantees to get the optimum encoding solution and executes more efficiently than the procedure Exhaustie_Search_Encoding. Table I shows the results on 6 two-leel Benchmarks. On aerage, Algorithm requires % and 0% fewer LUT's than that of Algorithm and, respectiely. Moreoer, Algorithm also ery time-efficient. It only requires % and 90% CPU time than that of Algorithm and, respectiely. Table II shows the results on 6 multi-leel benchmarks. We find that Algorithm and produce almost the same results. On aerage, they both require % fewer LUT's than that of Algorithm, and also take ~0% less CPU time than that of Algorithm in th experiment. These two experiments show that our encoding strategy can proide greater improement for circuits starting with two-leel forms but no significant improement for circuits starting with multi-leel forms. It because that node functions of the multi-leel circuits optimized by SIS are simpler and hae fewer inputs than node functions of the two-leel circuits. The similar reason has also been suggested in [0]. Therefore, our encoding strategy does not make much difference for multileel circuits after choosing a good lambda set for decomposing functions.. Conclusions In th paper, we dcuss the compatible class encoding problem in Roth-Karp decomposition for two-output LUT

5 architecture. We show how to formulate th problem as a symbolic-output encoding problem. Based on th formulation, we also deelop an encoding algorithm and integrate it into a ersion of Roth-Karp decomposition with the lambda set selection strategy. Experiment results show that our new encoding algorithm can efficiently use fewer LUT's to implement circuits starting with two-leel forms for the twooutput LUT architecture. By inestigating the optimization strategy of current logic synthes systems, our new encoding technique ery useful in both two-leel and multi-leel logic synthes systems targeting for the two-output LUT-based FPGA. Table I : The experimental results of two-leel circuits. Algorithm Algorithm Algorithm CKT name #in #out #LUT time #LUT time #LUT time xp sym alu apex b clip count duke e fm mex References mex [] Xilinx Inc., 00, Logic Drie, San Jose, CA-9, The rd Programmable Logic Data Book. rd [] R. Murgai, Y. Nhizaki, N. Shenoy, R. K. Brayton, and A. sao Sangioanni-Vincentelli, "Logic Synthes for Programmable Gate zml Arrays," in Proc. 7th Design Automation Conf., June 990, pp Total [] R. Murgai, N. Shenoy, R. K. Brayton, and A. Sangioanni-Vincentelli, "Improed Logic Synthes Algorithms for Table Look Up Architectures," in Proc. Int. Conf. Computer-Aided Design, No. 99, pp [] R. J. Franc, J. Rose, and K. Chung, "Chortle : A Technology Mapping Program for Lookup Table-Based Field Programmable Gate Arrays," in Proc. 7th Design Automation Conf., June 990, pp [] R. J. Franc, J. Rose, and Z. Vranesic, "Chortle-crf : Fast Technology Mapping for Lookup Table-Based FPGA's," in Proc. 8th Design Automation Conf., June 99, pp.7-. [6] K. Karplus, "Xmap : A Technology Mapper for Table-Lookup Field Programmable Gate Arrays," in Proc. 8th Design Automation Conf., June 99, pp.0-. [7] N. Woo, "A Heurtic Method for FPGA Technology Mapping Based on the Edge Vibility," in Proc. 8th Design Automation Conf., June 99, pp.8-. [8] D. Filo, J. C. Yang, F. Mailhot, and G. D. Micheli, "Technology Mapping for a Two-Output RAM-based Field-Programmable Gate Arrays," in Proc. European Design Automation Conf., Feb. 99, pp.-8. [9] Y. T. Lai, M. Pedram, and Sarma B. K. Vrudhula, "BDD Based Decomposition of Logic Functions with Application to FPGA Synthes," in Proc. 0th Design Automation Conf., June 99, pp [0]R. Murgai, R. K. Brayton, and A. Sangioanni-Vincentelli, "Optimum Functional Decomposition Using Encoding," in Proc. st Design Automation Conf., June 99, pp.08-. []W.-Z. Shen, J.-D. Huang, and S.-M. Chao, "Lambda Set Selection in Roth-Karp Decomposition for LUT-Based FPGA Technology Mapping," in Proc. nd Design Automation Conf., June 99, pp []TingTing Hwang, Robert M. Owens, Mary J. Irwin, and Kuo Hua Wang, "Logic Synthes for Field-Programmable Gate Arrays," in IEEE Trans. on Computer-Aided Design, Oct. 99, pp []J. P. Roth, and R. M. Karp, "Minimization Oer Boolean Graphs," in IBM Journal of Research and Deelopment, April 96, pp.7-8. []S. Yang and M. Ciesielski, "Optimum and Suboptimal Algorithm for Input Encoding and Its Relationship to Logic Minimization," in IEEE Trans. on Computer-Aided Design, Jan. 99, pp.-. []R. K. Brayton, R. Rudell, A. Sangioanni-Vincentelli, and A. R. Wang, "MIS : A Multi-Leel Logic Optimization System," in IEEE Trans. on Computer-Aided Design, No. 987, pp Normalized Normalized Table II : The experimental results of multi-leel circuits. Algorithm Algorithm Algorithm CKT name #in #out #LUT time #LUT time #LUT time xp sym symml alu alu apex apex b bw C C clip count des duke e fm mex mex mex rd rd rot sao g zml Total Normalized Algorithm : R.-K. decomposition in SIS. Algorithm : R.-K. decomposition with λ set selection strategy[]. Algorithm : Algorithm with compatible class encoding strategy.

Beyond the Combinatorial Limit in Depth Minimization for LUT-Based FPGA Designs

Beyond the Combinatorial Limit in Depth Minimization for LUT-Based FPGA Designs Beyond the Combinatorial Limit in Depth Minimization for LUT-Based FPGA Designs Jason Cong and Yuzheng Ding Department of Computer Science University of California, Los Angeles, CA 90024 Abstract In this

More information

FlowMap: An Optimal Technology Mapping Algorithm for Delay Optimization in Lookup-Table Based FPGA Designs

FlowMap: An Optimal Technology Mapping Algorithm for Delay Optimization in Lookup-Table Based FPGA Designs . FlowMap: An Optimal Technology Mapping Algorithm for Delay Optimization in Lookup-Table Based FPGA Designs Jason Cong and Yuzheng Ding Department of Computer Science University of California, Los Angeles,

More information

Simultaneous Depth and Area Minimization in LUT-based FPGA Mapping

Simultaneous Depth and Area Minimization in LUT-based FPGA Mapping Simultaneous Depth and Area Minimization in LUT-based FPGA Mapping Jason Cong and Yean-Yow Hwang Department of Computer Science University of California, Los Angeles, CA 90024 Abstract In this paper, we

More information

Boolean Matching for Complex PLBs in LUT-based FPGAs with Application to Architecture Evaluation. Jason Cong and Yean-Yow Hwang

Boolean Matching for Complex PLBs in LUT-based FPGAs with Application to Architecture Evaluation. Jason Cong and Yean-Yow Hwang Boolean Matching for Complex PLBs in LUT-based PAs with Application to Architecture Evaluation Jason Cong and Yean-Yow wang Department of Computer Science University of California, Los Angeles {cong, yeanyow}@cs.ucla.edu

More information

An Efficient Framework of Using Various Decomposition Methods to Synthesize LUT Networks and Its Evaluation

An Efficient Framework of Using Various Decomposition Methods to Synthesize LUT Networks and Its Evaluation An Efficient Framework of Using Various Decomposition Methods to Synthesize LUT Networks and Its Evaluation Shigeru Yamashita Hiroshi Sawada Akira Nagoya NTT Communication Science Laboratories 2-4, Hikaridai,

More information

Simultaneous Depth and Area Minimization in LUT-based FPGA Mapping

Simultaneous Depth and Area Minimization in LUT-based FPGA Mapping Simultaneous Depth and Area Minimization in LUT-based FPGA Mapping Jason Cong and Yean-Yow Hwang Department of Computer Science University of California, Los Angeles, CA 90024 January 31, 1995 Abstract

More information

On Nominal Delay Minimization in LUT-Based FPGA Technology Mapping

On Nominal Delay Minimization in LUT-Based FPGA Technology Mapping On Nominal Delay Minimization in LUT-Based FPGA Technology Mapping Jason Cong and Yuzheng Ding Department of Computer Science University of California, Los Angeles, CA 90024 Abstract In this report, we

More information

Combining Technology Mapping and Placement for Delay-Optimization in FPGA Designs *

Combining Technology Mapping and Placement for Delay-Optimization in FPGA Designs * Combining Technology Mapping and Placement for Delay-Optimization in FPGA Designs * Abstract Chau-Shen Chen Yu-Wen Tsay TingTing Hwang Allen C.H. Wu Youn-Long Lin Department of Computer Science We combine

More information

A New Algorithm to Create Prime Irredundant Boolean Expressions

A New Algorithm to Create Prime Irredundant Boolean Expressions A New Algorithm to Create Prime Irredundant Boolean Expressions Michel R.C.M. Berkelaar Eindhoven University of technology, P.O. Box 513, NL 5600 MB Eindhoven, The Netherlands Email: michel@es.ele.tue.nl

More information

A New Decomposition of Boolean Functions

A New Decomposition of Boolean Functions A New Decomposition of Boolean Functions Elena Dubrova Electronic System Design Lab Department of Electronics Royal Institute of Technology Kista, Sweden elena@ele.kth.se Abstract This paper introduces

More information

Binary Decision Diagram with Minimum Expected Path Length

Binary Decision Diagram with Minimum Expected Path Length Binary Decision Diagram with Minimum Expected Path Length Yi-Yu Liu Kuo-Hua Wang TingTing Hwang C. L. Liu Department of Computer Science, National Tsing Hua University, Hsinchu 300, Taiwan Dept. of Computer

More information

RASP: A General Logic Synthesis System for SRAM-based FPGAs

RASP: A General Logic Synthesis System for SRAM-based FPGAs RASP: A General Logic Synthesis System for SRAM-based FPGAs Abstract Jason Cong and John Peck Department of Computer Science University of California, Los Angeles, CA 90024 Yuzheng Ding AT&T Bell Laboratories,

More information

F recent technology that provides users programmability in

F recent technology that provides users programmability in 1280 EEE TRANSACTONS ON COMPUTER-ADED DESGN OF NTEGRATED CRCUTS AND SYSTEMS, VOL. 13, NO. 10, OCTOBER 1994 Logic Synthesis for Field-Programmable Gate Arrays Ting-Ting Hwang, Robert Michael Owens, Mary

More information

Heterogeneous Technology Mapping for Area Reduction in FPGA s with Embedded Memory Arrays

Heterogeneous Technology Mapping for Area Reduction in FPGA s with Embedded Memory Arrays 56 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 1, JANUARY 2000 Heterogeneous Technology Mapping for Area Reduction in FPGA s with Embedded Memory Arrays

More information

Structural Gate Decomposition for Depth- Optimal Technology Mapping in LUT- Based FPGA Designs

Structural Gate Decomposition for Depth- Optimal Technology Mapping in LUT- Based FPGA Designs Structural Gate Decomposition or Depth- Optimal Technology Mapping in LUT- Based FPGA Designs JASON CONG and YEAN-YOW HWANG Uniersity o Caliornia In this paper we study structural gate decomposition in

More information

Assign auniquecodeto each state to produce a. Given jsj states, needed at least dlog jsje state bits. (minimum width encoding), at most jsj state bits

Assign auniquecodeto each state to produce a. Given jsj states, needed at least dlog jsje state bits. (minimum width encoding), at most jsj state bits State Assignment The problem: Assign auniquecodeto each state to produce a logic level description. Given jsj states, needed at least dlog jsje state bits (minimum width encoding), at most jsj state bits

More information

Efficient Computation of Canonical Form for Boolean Matching in Large Libraries

Efficient Computation of Canonical Form for Boolean Matching in Large Libraries Efficient Computation of Canonical Form for Boolean Matching in Large Libraries Debatosh Debnath Dept. of Computer Science & Engineering Oakland University, Rochester Michigan 48309, U.S.A. debnath@oakland.edu

More information

Figure 1. PLA-Style Logic Block. P Product terms. I Inputs

Figure 1. PLA-Style Logic Block. P Product terms. I Inputs Technology Mapping for Large Complex PLDs Jason Helge Anderson and Stephen Dean Brown Department of Electrical and Computer Engineering University of Toronto 10 King s College Road Toronto, Ontario, Canada

More information

Functional extension of structural logic optimization techniques

Functional extension of structural logic optimization techniques Functional extension of structural logic optimization techniques J. A. Espejo, L. Entrena, E. San Millán, E. Olías Universidad Carlos III de Madrid # e-mail: { ppespejo, entrena, quique, olias}@ing.uc3m.es

More information

Field Programmable Gate Arrays

Field Programmable Gate Arrays Chortle: A Technology Mapping Program for Lookup Table-Based Field Programmable Gate Arrays Robert J. Francis, Jonathan Rose, Kevin Chung Department of Electrical Engineering, University of Toronto, Ontario,

More information

THE technology mapping and synthesis problem for field

THE technology mapping and synthesis problem for field 738 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 17, NO. 9, SEPTEMBER 1998 An Efficient Algorithm for Performance-Optimal FPGA Technology Mapping with Retiming Jason

More information

File Formats. Appendix A. A.1 Benchmarks. A.2 ESPRESSO Format

File Formats. Appendix A. A.1 Benchmarks. A.2 ESPRESSO Format Appendix A File Formats A.1 Benchmarks Tables A.1 and A.2 present benchmark parameters for two-level logic (in ESPRESSO format) set and finite-state tables (in KISS2 format) set respectively. A.2 ESPRESSO

More information

Efficient SAT-based Boolean Matching for FPGA Technology Mapping

Efficient SAT-based Boolean Matching for FPGA Technology Mapping Efficient SAT-based Boolean Matching for FPGA Technology Mapping Sean Safarpour, Andreas Veneris Department of Electrical and Computer Engineering University of Toronto Toronto, ON, Canada {sean, veneris}@eecg.toronto.edu

More information

Functional Test Generation for Delay Faults in Combinational Circuits

Functional Test Generation for Delay Faults in Combinational Circuits Functional Test Generation for Delay Faults in Combinational Circuits Irith Pomeranz and Sudhakar M. Reddy + Electrical and Computer Engineering Department University of Iowa Iowa City, IA 52242 Abstract

More information

Minimum Spanning Tree in Fuzzy Weighted Rough Graph

Minimum Spanning Tree in Fuzzy Weighted Rough Graph International Journal of Engineering Research and Deelopment ISSN: 2278-067X, Volume 1, Issue 10 (June 2012), PP.23-28 www.ijerd.com Minimum Spanning Tree in Fuzzy Weighted Rough Graph S. P. Mohanty 1,

More information

Unit 4: Formal Verification

Unit 4: Formal Verification Course contents Unit 4: Formal Verification Logic synthesis basics Binary-decision diagram (BDD) Verification Logic optimization Technology mapping Readings Chapter 11 Unit 4 1 Logic Synthesis & Verification

More information

Retiming Sequential Circuits with Multiple Register Classes

Retiming Sequential Circuits with Multiple Register Classes Retiming Sequential Circuits with Multiple Register Classes Klaus Eckl Christian Legl Institute of Electronic Design Automation Technical Uniersity of Munich 80290 Munich, Germany KlausEckl@eitumde ChristianLegl@eitumde

More information

Verification on Port Connections

Verification on Port Connections Verification on Port Connections Geeng-Wei Lee*, Chun-Yao Wang, Juinn-Dar Huang*, and Jing-Yang Jou* * Department of Electronics Engineering National Chiao Tung University, Hsinchu, Taiwan, R.O.C. {gwlee,

More information

TECHNOLOGY MAPPING FOR THE ATMEL FPGA CIRCUITS

TECHNOLOGY MAPPING FOR THE ATMEL FPGA CIRCUITS TECHNOLOGY MAPPING FOR THE ATMEL FPGA CIRCUITS Zoltan Baruch E-mail: Zoltan.Baruch@cs.utcluj.ro Octavian Creţ E-mail: Octavian.Cret@cs.utcluj.ro Kalman Pusztai E-mail: Kalman.Pusztai@cs.utcluj.ro Computer

More information

Delay Estimation for Technology Independent Synthesis

Delay Estimation for Technology Independent Synthesis Delay Estimation for Technology Independent Synthesis Yutaka TAMIYA FUJITSU LABORATORIES LTD. 4-1-1 Kamikodanaka, Nakahara-ku, Kawasaki, JAPAN, 211-88 Tel: +81-44-754-2663 Fax: +81-44-754-2664 E-mail:

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

Technology Mapping and Packing. FPGAs

Technology Mapping and Packing. FPGAs Technology Mapping and Packing for Coarse-grained, Anti-fuse Based FPGAs Chang Woo Kang, Ali Iranli, and Massoud Pedram University of Southern California Department of Electrical Engineering Los Angeles

More information

A New Multicast Wavelength Assignment Algorithm in Wavelength-Converted Optical Networks

A New Multicast Wavelength Assignment Algorithm in Wavelength-Converted Optical Networks Int J Communications, Network and System Sciences, 2009, 2, 912-916 doi:104236/ijcns200929106 Published Online December 2009 (http://wwwscirporg/journal/ijcns/) A New Multicast Waelength Assignment Algorithm

More information

PARALLEL PERFORMANCE DIRECTED TECHNOLOGY MAPPING FOR FPGA. Laurent Lemarchand. Informatique. ea 2215, D pt. ubo University{ bp 809

PARALLEL PERFORMANCE DIRECTED TECHNOLOGY MAPPING FOR FPGA. Laurent Lemarchand. Informatique. ea 2215, D pt. ubo University{ bp 809 PARALLEL PERFORMANCE DIRECTED TECHNOLOGY MAPPING FOR FPGA Laurent Lemarchand Informatique ubo University{ bp 809 f-29285, Brest { France lemarch@univ-brest.fr ea 2215, D pt ABSTRACT An ecient distributed

More information

SEPP: a New Compact Three-Level Logic Form

SEPP: a New Compact Three-Level Logic Form SEPP: a New Compact Three-Level Logic Form Valentina Ciriani Department of Information Technologies Università degli Studi di Milano, Italy valentina.ciriani@unimi.it Anna Bernasconi Department of Computer

More information

Formal Verification using Probabilistic Techniques

Formal Verification using Probabilistic Techniques Formal Verification using Probabilistic Techniques René Krenz Elena Dubrova Department of Microelectronic and Information Technology Royal Institute of Technology Stockholm, Sweden rene,elena @ele.kth.se

More information

IMPLEMENTATION DESIGN FLOW

IMPLEMENTATION DESIGN FLOW IMPLEMENTATION DESIGN FLOW Hà Minh Trần Hạnh Nguyễn Duy Thái Course: Reconfigurable Computing Outline Over view Integra tion Node manipulation LUT-based mapping Design flow Design entry Functional simulation

More information

Don't Cares in Multi-Level Network Optimization. Hamid Savoj. Abstract

Don't Cares in Multi-Level Network Optimization. Hamid Savoj. Abstract Don't Cares in Multi-Level Network Optimization Hamid Savoj University of California Berkeley, California Department of Electrical Engineering and Computer Sciences Abstract An important factor in the

More information

Fast Controllers for Data Dominated Applications

Fast Controllers for Data Dominated Applications Fast Controllers for Data Dominated Applications Andre Hertwig, Hans-Joachim Wunderlich Uniersity of Stuttgart, Germany Computer Architecture Lab Abstract A target structure for implementing fast edge-triggered

More information

Don t Cares and Multi-Valued Logic Network Minimization

Don t Cares and Multi-Valued Logic Network Minimization Don t Cares and Multi-Valued Logic Network Minimization Yunian Jiang Robert K. Brayton Department of Electrical Engineering and Computer Sciences University of California, Berkeley wiang,brayton @eecs.berkeley.edu

More information

On the Relation between SAT and BDDs for Equivalence Checking

On the Relation between SAT and BDDs for Equivalence Checking On the Relation between SAT and BDDs for Equivalence Checking Sherief Reda 1 Rolf Drechsler 2 Alex Orailoglu 1 1 Computer Science & Engineering Department University of California, San Diego La Jolla,

More information

Technology Mapping Targeting Routing Congestion under Delay Constraints

Technology Mapping Targeting Routing Congestion under Delay Constraints 1 Technology Mapping Targeting Routing Congestion under Delay Constraints Rupesh S. Shelar, Member, IEEE, Prashant Saxena, and Sachin S. Sapatnekar, Fellow, IEEE Abstract Routing congestion has become

More information

ABC basics (compilation from different articles)

ABC basics (compilation from different articles) 1. AIG construction 2. AIG optimization 3. Technology mapping ABC basics (compilation from different articles) 1. BACKGROUND An And-Inverter Graph (AIG) is a directed acyclic graph (DAG), in which a node

More information

Learning Ontology Alignments using Recursive Neural Networks

Learning Ontology Alignments using Recursive Neural Networks Learning Ontology Alignments using Recursie Neural Networks Alexandros Chortaras, Giorgos Stamou, Andreas Stafylopatis School of Electrical and Computer Engineering National Technical Uniersity of Athens

More information

Design of Framework for Logic Synthesis Engine

Design of Framework for Logic Synthesis Engine Design of Framework for Logic Synthesis Engine Tribikram Pradhan 1, Pramod Kumar 2, Anil N S 3, Amit Bakshi 4 1 School of Information technology and Engineering, VIT University, Vellore 632014, Tamilnadu,

More information

Multi-Level Logic Synthesis for Low Power

Multi-Level Logic Synthesis for Low Power Examples Before Mapping After Mapping Area Power Area Delay Power 5xp1 0.93 0.98 0.86 0.82 0.90 Z5xp1 0.97 0.91 0.95 0.78 0.84 9sym 0.89 1.01 0.83 0.86 0.87 9symml 1.24 1.02 1.15 1.12 0.84 apex5 0.99 0.96

More information

An Architecture for IPv6 Lookup Using Parallel Index Generation Units

An Architecture for IPv6 Lookup Using Parallel Index Generation Units An Architecture for IPv6 Lookup Using Parallel Index Generation Units Hiroki Nakahara, Tsutomu Sasao, and Munehiro Matsuura Kagoshima University, Japan Kyushu Institute of Technology, Japan Abstract. This

More information

Tivoli Application Dependency Discovery Manager Version 7 Release 2.1. Installation Guide

Tivoli Application Dependency Discovery Manager Version 7 Release 2.1. Installation Guide Tioli Application Dependency Discoery Manager Version 7 Release 2.1 Installation Guide Tioli Application Dependency Discoery Manager Version 7 Release 2.1 Installation Guide Note Before using this information

More information

g a0 1 a0 b 1 (3) (2) (4) (5) (1) 1 i 2

g a0 1 a0 b 1 (3) (2) (4) (5) (1) 1 i 2 A New Retiming-based Technology Mapping Algorithm for LUT-based FPGAs Peichen Pan y and Chih-Chang Lin z y Dept. of ECE, Clarkson University, Potsdam, NY 13699 z Verplex Systems, Inc., San Jose, CA 95112

More information

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Aiman El-Maleh, Saqib Khurshid King Fahd University of Petroleum and Minerals Dhahran, Saudi Arabia

More information

A Boolean Paradigm in Multi-Valued Logic Synthesis

A Boolean Paradigm in Multi-Valued Logic Synthesis A Boolean Paradigm in Multi-Valued Logic Synthesis Abstract Alan Mishchenko Department of ECE Portland State University alanmi@ece.pd.edu Optimization algorithms used in binary multi-level logic synthesis,

More information

Robinhood: Towards Efficient Work-stealing in Virtualized Environments

Robinhood: Towards Efficient Work-stealing in Virtualized Environments 1 : Towards Efficient Work-stealing in Virtualized Enironments Yaqiong Peng, Song Wu, Member, IEEE, Hai Jin, Senior Member, IEEE Abstract Work-stealing, as a common user-leel task scheduler for managing

More information

VLSI System Design Part II : Logic Synthesis (1) Oct Feb.2007

VLSI System Design Part II : Logic Synthesis (1) Oct Feb.2007 VLSI System Design Part II : Logic Synthesis (1) Oct.2006 - Feb.2007 Lecturer : Tsuyoshi Isshiki Dept. Communications and Integrated Systems, Tokyo Institute of Technology isshiki@vlsi.ss.titech.ac.jp

More information

Delay and Power Optimization of Sequential Circuits through DJP Algorithm

Delay and Power Optimization of Sequential Circuits through DJP Algorithm Delay and Power Optimization of Sequential Circuits through DJP Algorithm S. Nireekshan Kumar*, J. Grace Jency Gnannamal** Abstract Delay Minimization and Power Minimization are two important objectives

More information

A New Enhanced Approach to Technology Mapping

A New Enhanced Approach to Technology Mapping A New Enhanced Approach to Technology Mapping Alan Mishchenko Satrajit Chatterjee Robert Brayton Xinning Wang Timothy Kam Department of EECS Strategic CAD Labs University of California, Berkeley Intel

More information

1/28/2013. Synthesis. The Y-diagram Revisited. Structural Behavioral. More abstract designs Physical. CAD for VLSI 2

1/28/2013. Synthesis. The Y-diagram Revisited. Structural Behavioral. More abstract designs Physical. CAD for VLSI 2 Synthesis The Y-diagram Revisited Structural Behavioral More abstract designs Physical CAD for VLSI 2 1 Structural Synthesis Behavioral Physical CAD for VLSI 3 Structural Processor Memory Bus Behavioral

More information

International Journal of Multidisciplinary Research and Modern Education (IJMRME) Impact Factor: 6.725, ISSN (Online):

International Journal of Multidisciplinary Research and Modern Education (IJMRME) Impact Factor: 6.725, ISSN (Online): COMPUTER REPRESENTATION OF GRAPHS USING BINARY LOGIC CODES IN DISCRETE MATHEMATICS S. Geetha* & Dr. S. Jayakumar** * Assistant Professor, Department of Mathematics, Bon Secours College for Women, Villar

More information

Versatile SAT-based Remapping for Standard Cells

Versatile SAT-based Remapping for Standard Cells Versatile SAT-based Remapping for Standard Cells Alan Mishchenko Robert Brayton Department of EECS, UC Berkeley {alanmi, brayton@berkeley.edu Thierry Besson Sriram Govindarajan Harm Arts Paul van Besouw

More information

On Algebraic Expressions of Generalized Fibonacci Graphs

On Algebraic Expressions of Generalized Fibonacci Graphs On Algebraic Expressions of Generalized Fibonacci Graphs MARK KORENBLIT and VADIM E LEVIT Department of Computer Science Holon Academic Institute of Technology 5 Golomb Str, PO Box 305, Holon 580 ISRAEL

More information

Fast Boolean Matching for Small Practical Functions

Fast Boolean Matching for Small Practical Functions Fast Boolean Matching for Small Practical Functions Zheng Huang Lingli Wang Yakov Nasikovskiy Alan Mishchenko State Key Lab of ASIC and System Computer Science Department Department of EECS Fudan University,

More information

Functional Decomposition of MVL Functions using Multi-Valued Decision Diagrams

Functional Decomposition of MVL Functions using Multi-Valued Decision Diagrams Functional ecomposition of MVL Functions using Multi-Valued ecision iagrams Craig Files Rolf rechsler Marek A. Perkowski epartment of Electrical Engineering Institute of Computer Science Portland State

More information

Test Set Compaction Algorithms for Combinational Circuits

Test Set Compaction Algorithms for Combinational Circuits Proceedings of the International Conference on Computer-Aided Design, November 1998 Set Compaction Algorithms for Combinational Circuits Ilker Hamzaoglu and Janak H. Patel Center for Reliable & High-Performance

More information

On the Computation of Recursion in Relational Databases

On the Computation of Recursion in Relational Databases On the Computation of Recursion in Relational Databases 263 Chapter XV On the Computation of Recursion in Relational Databases Yangjun Chen Uniersity of Winnipeg, Canada ABSTRACT A composite object represented

More information

Giovanni De Micheli. Integrated Systems Centre EPF Lausanne

Giovanni De Micheli. Integrated Systems Centre EPF Lausanne Two-level Logic Synthesis and Optimization Giovanni De Micheli Integrated Systems Centre EPF Lausanne This presentation can be used for non-commercial purposes as long as this note and the copyright footers

More information

Motion Models (cont) 1 2/17/2017

Motion Models (cont) 1 2/17/2017 Motion Models (cont) 1 /17/017 Sampling from the Velocity Motion Model suppose that a robot has a map of its enironment and it needs to find its pose in the enironment this is the robot localization problem

More information

Programmable Memory Blocks Supporting Content-Addressable Memory

Programmable Memory Blocks Supporting Content-Addressable Memory Programmable Memory Blocks Supporting Content-Addressable Memory Frank Heile, Andrew Leaver, Kerry Veenstra Altera 0 Innovation Dr San Jose, CA 95 USA (408) 544-7000 {frank, aleaver, kerry}@altera.com

More information

Minimization of Multiple-Valued Functions in Post Algebra

Minimization of Multiple-Valued Functions in Post Algebra Minimization of Multiple-Valued Functions in Post Algebra Elena Dubrova Yunjian Jiang Robert Brayton Department of Electronics Dept. of Electrical Engineering and Computer Sciences Royal Institute of Technology

More information

Quadrilateral Meshes with Provable Angle Bounds

Quadrilateral Meshes with Provable Angle Bounds Quadrilateral Meshes with Proable Angle Bounds F. Betul Atalay Suneeta Ramaswami Dianna Xu March 3, 2011 Abstract In this paper, we present an algorithm that utilizes a quadtree data structure to construct

More information

Acyclic Multi-Way Partitioning of Boolean Networks

Acyclic Multi-Way Partitioning of Boolean Networks Acyclic Multi-Way Partitioning of Boolean Networks Jason Cong, Zheng Li, and Rajive Bagrodia Department of Computer Science University of California, Los Angeles, CA 90024 Abstract Acyclic partitioning

More information

IBM FAStT Storage Manager Version 8.2 IBM. Installation and Support Guide for Novell NetWare

IBM FAStT Storage Manager Version 8.2 IBM. Installation and Support Guide for Novell NetWare IBM FAStT Storage Manager Version 8.2 IBM Installation and Support Guide for Noell NetWare IBM FAStT Storage Manager Version 8.2 Installation and Support Guide for Noell NetWare Note Before using this

More information

On the Verification of Sequential Equivalence

On the Verification of Sequential Equivalence 686 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 22, NO 6, JUNE 2003 On the Verification of Sequential Equivalence Jie-Hong R Jiang and Robert K Brayton, Fellow, IEEE

More information

Implementing Logic in FPGA Memory Arrays: Heterogeneous Memory Architectures

Implementing Logic in FPGA Memory Arrays: Heterogeneous Memory Architectures Implementing Logic in FPGA Memory Arrays: Heterogeneous Memory Architectures Steven J.E. Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver, BC, Canada, V6T

More information

IN multilevel logic synthesis, an important step in minimizing

IN multilevel logic synthesis, an important step in minimizing 1096 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 8, AUGUST 1999 Efficient Boolean Division and Substitution Using Redundancy Addition and Removing Shih-Chieh

More information

Functionally Linear Decomposition and Synthesis of Logic Circuits for FPGAs

Functionally Linear Decomposition and Synthesis of Logic Circuits for FPGAs Functionally Linear Decomposition and Synthesis of Logic Circuits for FPGAs Tomasz S. Czajkowski and Stephen D. Brown Department of Electrical and Computer Engineering, University of Toronto, Toronto,

More information

9.1 Cook-Levin Theorem

9.1 Cook-Levin Theorem CS787: Advanced Algorithms Scribe: Shijin Kong and David Malec Lecturer: Shuchi Chawla Topic: NP-Completeness, Approximation Algorithms Date: 10/1/2007 As we ve already seen in the preceding lecture, two

More information

A Toolbox for Counter-Example Analysis and Optimization

A Toolbox for Counter-Example Analysis and Optimization A Toolbox for Counter-Example Analysis and Optimization Alan Mishchenko Niklas Een Robert Brayton Department of EECS, University of California, Berkeley {alanmi, een, brayton}@eecs.berkeley.edu Abstract

More information

DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs

DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs Deming Chen, Jason Cong Computer Science Department University of California, Los Angeles {demingc, cong}@cs.ucla.edu ABSTRACT

More information

Formally verifying the correctness of a network-based protocol for railway signalling systems

Formally verifying the correctness of a network-based protocol for railway signalling systems Urban Transport 197 Formally erifying the correctness of a network-based protocol for railway signalling systems J.-G. Hwang & J.-H. Lee Railway Signalling Research Group, Korea Railroad Research Institute

More information

Optimized Implementation of Logic Functions

Optimized Implementation of Logic Functions June 25, 22 9:7 vra235_ch4 Sheet number Page number 49 black chapter 4 Optimized Implementation of Logic Functions 4. Nc3xe4, Nb8 d7 49 June 25, 22 9:7 vra235_ch4 Sheet number 2 Page number 5 black 5 CHAPTER

More information

Breakup Algorithm for Switching Circuit Simplifications

Breakup Algorithm for Switching Circuit Simplifications , No.1, PP. 1-11, 2016 Received on: 22.10.2016 Revised on: 27.11.2016 Breakup Algorithm for Switching Circuit Simplifications Sahadev Roy Dept. of ECE, NIT Arunachal Pradesh, Yupia, 791112, India e-mail:sdr.ece@nitap.in

More information

Synthia: Synthesis of Interacting Automata Targeting LUT-Based FPGAs

Synthia: Synthesis of Interacting Automata Targeting LUT-Based FPGAs Synthia: Synthesis of Interacting Automata Targeting LUT-Based FPGAs George A. Constantinides 1, Peter Y. K. Cheung 1, and Wayne Luk 2 1 Electrical and Electronic Engineering Dept., Imperial College, London,

More information

General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs

General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs Hongbing Fan Dept. of omputer Science University of Victoria Victoria B anada V8W P6 Jiping Liu Dept. of Math. & omp. Sci. University

More information

A Flexible Scheme of Self Recovery for Digital Image Protection

A Flexible Scheme of Self Recovery for Digital Image Protection www.ijcsi.org 460 A Flexible Scheme of Self Recoery for Digital Image Protection Zhenxing Qian, Lili Zhao 2 School of Communication and Information Engineering, Shanghai Uniersity, Shanghai 200072, China

More information

Upgrading XL Fortran Compilers

Upgrading XL Fortran Compilers Upgrading XL Fortran Compilers Oeriew Upgrading to the latest IBM XL Fortran compilers makes good business sense. Upgrading puts new capabilities into the hands of your programmers making them and your

More information

f 1 row pattern 1 row pattern 2 row pattern 2 row pattern 3 row pattern 3 row pattern 3 row pattern 2 row pattern row pattern 2

f 1 row pattern 1 row pattern 2 row pattern 2 row pattern 3 row pattern 3 row pattern 3 row pattern 2 row pattern row pattern 2 Communication Based FPGA Synthesis for Multi-Output Boolean Functions Christoph Scholl Paul Molitor Department of Computer Science Department of Computer Science Universitat des Saarlandes Martin-Luther

More information

CIRCUIT PARTITIONING is a fundamental problem in

CIRCUIT PARTITIONING is a fundamental problem in IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 15, NO. 12, DECEMBER 1996 1533 Efficient Network Flow Based Min-Cut Balanced Partitioning Hannah Honghua Yang and D.

More information

An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation*

An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation* An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation* Yingxin Pang Dept.ofCSE Univ. of California, San Diego La Jolla, CA 92093 ypang@cs.ucsd.edu Chung-Kuan Cheng Dept.ofCSE

More information

Multi-way Netlist Partitioning into Heterogeneous FPGAs and Minimization of Total Device Cost and Interconnect

Multi-way Netlist Partitioning into Heterogeneous FPGAs and Minimization of Total Device Cost and Interconnect Multi-way Netlist Partitioning into Heterogeneous FPGAs and Minimization of Total Device Cost and Interconnect Roman Kužnar, Franc Brglez 2, Baldomir Zajc Department of ECE, Tržaška 25, University of Ljubljana,

More information

SOFTWARE FOR THE MINIMIZATION OF THE COMBINATIONAL LOGIC FUNCTIONS

SOFTWARE FOR THE MINIMIZATION OF THE COMBINATIONAL LOGIC FUNCTIONS SOFTWARE FOR THE MINIMIZATION OF THE COMBINATIONAL LOGIC FUNCTIONS Rotar Dan Vasile Alecsandri University, Bacau, Romania Abstract An important component of the command and control circuit for the mechatronic

More information

On Using Permutation of Variables to Improve the Iterative Power of Resynthesis

On Using Permutation of Variables to Improve the Iterative Power of Resynthesis On Using Permutation of Variables to Improve the Iterative Power of Resynthesis Petr Fiser, Jan Schmidt Faculty of Information, Czech Technical University in Prague fiserp@fit.cvut.cz, schmidt@fit.cvut.cz

More information

Sequential Logic Rectifications with Approximate SPFDs

Sequential Logic Rectifications with Approximate SPFDs Sequential Logic Rectifications with Approximate SPFDs Yu-Shen Yang 1, Subarna Sinha, Andreas Veneris 1, Robert K. Brayton 3, and Duncan Smith 4 1 Dept. of ECE, University of Toronto, Toronto, Canada.

More information

A Bit-Window based Algorithm for Balanced and Efficient Object Placement and Lookup in Large-scale Object Based Storage Cluster

A Bit-Window based Algorithm for Balanced and Efficient Object Placement and Lookup in Large-scale Object Based Storage Cluster A Bit-Window based Algorithm for Balanced and Efficient Object lacement and Lookup in Large-scale Object Based Storage Cluster enuga Kanagaelu A*STA Data Storage Institute, Singapore Email:renuga_KANAGAVELU@dsia-staredusg

More information

State assignment techniques short review

State assignment techniques short review State assignment techniques short review Aleksander Ślusarczyk The task of the (near)optimal FSM state encoding can be generally formulated as assignment of such binary vectors to the state symbols that

More information

SameFrame Pin-Out Design for FineLine BGA Packages

SameFrame Pin-Out Design for FineLine BGA Packages SameFrame Pin-Out Design for Packages June 1999, er. 1 Application Note 90 Introduction A key adantage of designing with programmable logic is the flexibility which allows designers to quickly modify or

More information

Comparison of Decision Diagrams for Multiple-Output Logic Functions

Comparison of Decision Diagrams for Multiple-Output Logic Functions Comparison of Decision Diagrams for Multiple-Output Logic Functions sutomu SASAO, Yukihiro IGUCHI, and Munehiro MASUURA Department of Computer Science and Electronics, Kyushu Institute of echnology Center

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced Digital Logic Design EECS 303 http://ziyang.eecs.northwestern.edu/eecs303/ Teacher: Robert Dick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline 1. 2. 2 Robert Dick

More information

BoolTool: A Tool for Manipulation of Boolean Functions

BoolTool: A Tool for Manipulation of Boolean Functions BoolTool: A Tool for Manipulation of Boolean Functions Petr Fišer, David Toman Czech Technical University in Prague Department of Computer Science and Engineering Karlovo nám. 13, 121 35 Prague 2 e-mail:

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

FUNCTIONAL DECOMPOSITION WITH APPLICATION TO FPGA SYNTHESIS

FUNCTIONAL DECOMPOSITION WITH APPLICATION TO FPGA SYNTHESIS FUNCTIONAL DECOMPOSITION WITH APPLICATION TO FPGA SYNTHESIS Functional Decomposition with Application to FPGA Synthesis by Christoph Scholl Institute of Computer Science, Albert-Ludwigs-University, Freiburg

More information

Advantages of ISP-Based PLDs over Traditional PLDs

Advantages of ISP-Based PLDs over Traditional PLDs Adantages of ISP-Based PLDs oer Traditional PLDs February 1998, er. 2 Product Information Bulletin 24 Introduction As time-to-market pressures increase, design engineers continually look for ways to adance

More information