ISSN Vol.08,Issue.07, July-2016, Pages:

Size: px
Start display at page:

Download "ISSN Vol.08,Issue.07, July-2016, Pages:"

Transcription

1 ISSN Vol.08,Issue.07, July-2016, Pages: Low Power Asynchronous Domino Logic Pipeline Strategy Using Synchronization Logic Gates H. NASEEMA BEGUM PG Scholar, Dept of ECE, Santhiram Engineering College, Nandyal, AP, India. Abstract: This paper presents a novel design method of asynchronous domino logic pipeline, which focuses on improving the circuit efficiency and making asynchronous domino logic pipeline design more practical for a wide range of applications. The data paths are composed of a mixture of single-rail and dual-rail domino gates. Dual-rail domino gates are limited to construct a stable critical data path. Based on this critical data path, the handshake circuits are greatly simplified, which offers the pipeline high throughput as well as low power consumption. Moreover, the stable critical data path enables the adoption of single-rail domino gates in the noncritical data paths. This further saves a lot of power by reducing the overhead of logic circuits. Synchronization logic gates, which have no data dependency problem, are used in the design to construct the reliable data path. Three phase dual-rail precharge (TDPL) logic is used for evaluating the proposed pipeline method. A high-throughput and ultralowpower asynchronous domino logic pipeline design method, targeting to latch-free and extremely fine-grain or gate-level design. Keywords: Asynchronous Pipeline, Critical Data Path, Dual- Rail Domino Gate, Single-Rail Domino Gate. I. INTRODUCTION Nowadays, clock design becomes an obstacle to high performance VLSI systems. With technology scaling, the clock ditribution remains an important challenge with requirement of high speed and low-power in VLSI system design[1][8]. Asynchronous designs, which replaces the externally supplied global clock with a local handshake, has the potential to make high-performance design more feasible. Due to the local handshake, asynchronous systems avoid issues related to clock distribution such as large clock power and difficult management of clock skew. Moreover, the operating speed of asynchronous system is determined by actual local latencies rather than global worest-case latency, which supplies a higher operating speed and better elasticity. Asynchronous domino logic pipeline is an intresting pipeline style that can entirely avoid explicit storage elemnets between stages by exploiting the implicit latching functionality of domino logic gates. The latchless featurer provides the benefits of reduced critical delays, smaller silicon area, and low power consumption. However, asynchronous domino logic pipeline has a common problem that dual-rail domino 2016 IJATIR. All rights reserved. logic pipeline has to be used to compose the domino data path. Single-rail domino logic cannot be used because it would be break the domino path since only noninverting logic can be implemented[9]. As a result, the domino data path has a dual-rail encoding overhead that consumes a lot of silicon area and power consumption. This paper presents a novel design method of asynchronous domino logic pipeline, which focuses on improving the circuit efficiency and making asynchronous domino logic pipeline design more practical for a wide range of applications. To obtain high throughput, the design targets extremely fine-grain or gate level pipelining, where the depth of every pipeline stage is only one dualrail dynamic logic[11]. Moreover, the handshake control logic is greatly simplified with a reliable critical data path which is constructed by using synchronicing logic gates[2]. The reduced handshake overhead not only increases the throughput but also decreases the power consumption. A further feature of the proposed design is that explicit latches or registers are not used. The dynamic logic gates themselves provide an implicit latch function with a careful sequencing of handshake control. The removal of explicit latches or registers provides benefits of smaller forward latency, smaller silicon area, and low power consumption. Based on the features of the design we name the proposed asynchronous pipeline as Asynchronous Pipeline based on a constructed critical data path (abbreviated APCDP). TABLE I: Code Table of the Four-Phase Dual-Rail Encoding The paper is organized as follows. Section II introduces the previous work. A deatiled background on PS0, a classic dual-rail dynamic asynchronous pipeline implementation style is introduced, followed by a recent improved approch LP2/2. Section III focuses on the design of APCDP. SLGs

2 and the extended design, Synchronizing Logic Gates with a Latch functions (SLGL), are introduced to construct the reliable critical data path. A simple analysis of the robustness of the structure is also provided. Section IV focuses on comparison parameters of the pipeline structures. Section V presents the conclusion. Fig.1. Block diagram of PS0. II. PREVIOUS WORK PS0 is a well-known implementation style of asynchronous domio logic pipeline ased on dual-rail protocal[3]. It is an important foundation for later proposed styles. Since our proposed pipeline is also based on PS0, we will begin by reviewing PS0 pipeline style, and then simply introducing two other advanced styles: 1) a timing-robust style called precharge half-buffer [4] and 2) a high-throughput style called look-ahead pipeline[5]. A. Williams PS0 Pipeline Four-phase Dual-Rail Protocol: PS0 is designed based on the four-phase dual-rail protocal. Fig.3 shows an example of data transfer based on the four-phase dual-rail protocol, and tablei shows the code table of the four-phase dual-rail encoding.the four-phase dual-rail encoding encodes a request signal into the data signal using two wires,(w_t,w_f). The data value 0 is encoded as (0,1), and value 1 is encoded as (1,0); the spacer is encoded as (0,0); (1,1) is not used. When transfering the valid data, a spacer is inserted between them. A receiver can easily obtain the valid data by monitoring the two wires. This protocol is very roboust since a sender and a receiver can communicate reliably regardless of delay in the combinational logic block and wires between them. The dualrail encoded datapath is known as the delay-insensitive data path. H. NASEEMA BEGUM gate and the 2-bit completion detector. A two-input NOR gate serves as the 1-bit completion detector to generate a bit done signal by monitoring the outputs of dual-rail domino gate. To build a 2-bit completion detector, C- element is needed to combine the bit done signals. A full completion detector is formed by combing all bit done signals from the entire data paths with a tree of C-elements, as shown in fig. 1 Protocal of PS0: The protocol of PS0 is quite simple. F(N) is precharged when F(N+1) finishes its reset, or precharege. In fig. 1, if we observe a single data flow through an initially empty pipeline in wich every pipleine stage is in evaluation phase, the compete cycle of events is as follows. F1 evaluates and data flow to F2. F2 evaluates and data flow to F3. F2 s completion detector detects completion of evaluation and sends a precharge signal to F1. F1 precharges and F3 evaluates. F3 s completion detector detects completion of evaluation and sends a precharge signal to F2. F2 precharges. F2 s completion detector detects the completion of precharge and sends an evaluation signal (enable signal) to F1. The evaluation signal enales F1 to evaluate new data once again. Overhead Problems: There are mainly two overhead problems that prohibit the widespread use of PS0, the detection overhead in handshake control logic and dual-rail encoding overhead in function block logic. A ripple carry adder, shown in fig. 4, is used as an example to clarify these overhead problem. In a 4-bit ripple carry adder, 18 dual-rail domino buffer gates are added, which almost cancel out the benefit of removing explicit storage elements. Fig.2. (a) Dual-rail domino AND gate (b) Two-bit completion detector. Structure of PS0: Fig. 1 shows a block diagram of PS0. In PS0, each pipeline stage is composed of a function block and a completion detector. Each function block is implemented using dual-rail domino logic. Each completion detector generates a local handshake signal to control the flow of data through the pipeline. The handshake signal is transferred to the precharge/evaluation control port of the previous pipeline stage. Fig 2 shows an example of the dual-rail domino AND Fig.3. Example of data transfer based on four-phase dual-rail protocol.

3 Low Power Asynchronous Domino Logic Pipeline Strategy Using Synchronization Logic Gates handshake control logic, the overhead problem in function block logic remains unsolved since dual-rail domino logic still has to be used to compose the domino data path [6]. Fig.4. Pipelined 4-bit ripple carry adder. B. Other Advanced Pipelines Precharge Half-Buffer Pipeline: Fig. 5 shows a block diagram of PCHB. PCHB is a timing-robust pipeline style that uses quasi-delay-insensitive control circuits [4]. Two completion detectors in a PCHB stage: one on the input side (Di) and one on the output side (Do). The complete cycle of events for a PCHB stage is quite similar to that of PS0, except that PCHB verifies its input bits. This design makes PCHB more timing robust; it causes a two-time overhead in handshake control logic compared with PS0. Fig.6. Block diagrams of LP2/2. (a) LP2/2 based on dual-rail protocol, (b) LP2/2-SR. III. ASYNCHRONOUS PIPELINE BASED ON CONSRUCTED CRITICAL DATA PATH Fig7 shows the block diagram of the proposed asynchronous pipeline (APCDP). The pipeline is designed based on a stable critical data path that is constructed using special dual-rail logic. The critical data path transfers a data signal and an encoded handshake signal. Noncritical data paths, composed of single-rail logic, only transfer data signal. A static NOR gate detects the dual-rail critical data path and generates a total done signal for each pipeline stage. The outputs of NOR gates are connected to the precharge ports of their previous stages. As a result, APCDP has a small overhead in both handshake control logic and function block logic, which can greatly, increases the throughput and power consumption. Fig.5. Block diagram of PCHB. LP2/2: LP2/2 is a high throughput pipeline style [5], which has both dual-rail and bundled-data protocol design. LP2/2 improves the throughput of PS0 by optimizing the sequential of handshake events. However, they do not solve the overhead problems in handshake control logic and functional block logic. Although this pipeline structure reduces the handshake cycle time, the asymmetric completion detectors still consume a lot of power since they have to detect the entire data paths. Fig 6(b) shows the bock diagram of LP2/2 based on bundleddata protocol (LP2/2-SR). LP2/2-SR avoids the detection overhead problem by implementing a single extra bundling signal. The bundling signal serves as a completion signal, which matches the worst case delay in functional blocks. Although such design reduces the power consumption in Fig.7. Block diagram of APCDP. The solid arrow represents a constructed critical data path, the dotted arrow represents the noncritical data path,

4 H. NASEEMA BEGUM and the dashed arrow represents the output of single to dualrail encoding converter as shown in Fig.8. The structure of asynchronous pipeline can be divided into following modules, SLG (Synchronizing logic gate) SLGL (Synchronizing logic gate with latch function) S to D converter (single-rail to dual-rail converter) S logic(single-rail logic) Fig.10. Encoding converters and truth table of encoding converter. Fig.8. Synchronizing AND gate and the truth table of dualrail and logic. Synchronizing Logic Gates: The synchronizing logic gates (SLG) are dual-rail domino gates that have no gate-delay and data dependence problem by making sure that that SLG cannot be activated until all inputs are valid [11]. Fig.9. Synchronizing AND gate with a latch function and the table of the latch states. SLGL: Based on the characteristics of SLGs, SLGLs are extended. Fig.9 shows synchronizing AND gate with a latch function and the table of the latch states. The slowest gate in a pipeline stage might be early triggered by outputs from previous pipeline stage. Then, SLGL are extended to solve it. The principle is that SLGLs cannot start evaluation without the presence of the enable signal. S to D Converter: In order to avoid the data transfer error, the encoding converters are used with a timing assumption. The encoding converters are used to bridge the connection between single-rail and dual-rail domino gates as shown in Fig.10. Fig.11. Structure of APCDP. S Logic: The single-rail logic (S logic) is applied in the non-critical data path in APCDP pipeline and it cannot implement an odd number of inversions as shown in Fig.11. If using a NOT gate to implement the complementary logic, the initial high voltage signal in precharge phase would broke the domino path. Therefore, this single rail logic can be only applied in the non-critical data path and which is converted to dual-rail path using the encoding converters. IV. SIMULATION RESULTS Proposed pipeline and existing pipeline both pipeline process based on PS0 pipeline style. The PS0 simulation result shown in Fig 12 and it is based on a delay assumption that each pipeline stage s predecessor precharges no slower than the stage s successor evaluates. Fig.12. PS0 wave form.

5 Low Power Asynchronous Domino Logic Pipeline Strategy Using Synchronization Logic Gates PCHB is the timing robust pipeline design. It works in parallel processing. It will start the evaluation only if all the inputs are valid as shown in Fig.13. It doesn t require any delay assumption by the designer. PCHB based on PS0 pipeline method. Fig.16. SLG Layout. TABLE II: Comparison of Parameters Fig.13. PCHB wave form. The robustness comes at the expense of performance. Also the simulation results of LP2/2 and APCDP are given in Fig.14 and Fig.15. We have done the analysis of these pipeline structures with different parameters such as average power consumption, Area, Power Delay Product. Fig.14. LP2/2-SR wave forms. Fig.16 shows the synchronizing logic gates layout. These critical data path solve the gate delay and data dependence problems. The slowest gate in a pipeline stage might be early triggered by outputs from previous pipeline stage. Then, SLGL are extended to solve this problem. Fig.15. APCDP wave form. Table II shows the comparison of parameters between PCHB, LP2/2 and APCDP Structures. From this table we can conclude that the proposed pipeline method APCDP consumes less power when compared to the other methods. V. CONCLUSION This paper introduced a novel design method of asynchronous domino logic pipeline. This pipeline is realized based on a constructed critical data path (SLG/SLGL). The design method greatly reduces the overhead of hand shake control logic as well as functional block, which not only increases the pipeline throughput but also decreases the power consumption. The power delay product is also reduced in the proposed APCDP method. The evaluation results show that the proposed design has better performance than a bundled-data asynchronous domino logic pipeline (LP2/2-SR). VI. REFERENCES [1] B.H.Calhoun, Y. Cao, X. Li, K.Mai, L.T.Pileggi, and R.A. Rutenbar, Digital circuit design challenges and opportunities in the era of Nano scale CMOs, Proc. IEEE,Vol96, no.2, pp , Feb [2]. Z. Xia, S. Ishihara, M.Hariyama, and M. Kameyama, Synchronizing logic gates for wave pipelining design, Electron. Lett, Vol.46, no.16, pp , Aug [3] T. E. Williams, Self-timed rings and their application to division, Ph.D. dissertation, Dept. Computer. Sci., Stanford Univ., Stanford, CA, USA, Jun [4].A. M. Lines, Pipelined asynchronous circuits, Dept. Comput. Sci., California Inst. Technol., Pasadena, CA, USA, Tech. Rep., [5] M. Singh and S. M. Nowick, The design of high performance dynamic asynchronous pipelines: Look ahead style, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol.15, no. 11, pp , Nov

6 H. NASEEMA BEGUM [6].M. Singh, J. A. Tierno, A. Rylyakov, S. Rylov, and S. M.Nowick, An adaptively pipelined mixed synchronous asynchronous digital FIR filter chip operating at 1.3 gigahertz, IEEE Trans. Very Large Scale Integr.(VLSI) Syst., vol. 18, no. 7, pp , Jul [7] Zheng fan Xia, Masanori Hariyama, and Michitaka Kameyama Asynchronous Domino Logic Pipeline Design Based on Constructed Critical Data Path IEEE Transactions on very Large Scale Integration (VLSI) Systems 2014, pp [8] J. Sparsø and S. Furber, Principles of Asynchronous Circuit Design: A Systems Perspective. Boston, MA, USA: Kluwer, [9] D. Harris, introduction to CMOS VLSI design Lecture 9: Circuit Families (Lecture Notes on the subject). Claremont, CA, USA: Harvey Mudd College, [10] Z. Xia, S.Ishihara, M.Hariyama, and M. Kameyama, Dual-rail/single-rail hybrid logic design for highperformance asynchronous circuit, in Proc. IEEE ISCAS, May 2012, pp [11] Z. Xia, S. Ishihara, M. Hariyama, and M.Kameyama, Design of High-PerformanceAsynchronous Pipeline using Synchronizing Logic Gates, IEICE Trans. Electron., vol. E95-C, no. 8, pp , Aug

POWER ANALYSIS OF CRITICAL PATH DELAY DESIGN USING DOMINO LOGIC

POWER ANALYSIS OF CRITICAL PATH DELAY DESIGN USING DOMINO LOGIC 181 POWER ANALYSIS OF CRITICAL PATH DELAY DESIGN USING DOMINO LOGIC R.Yamini, V.Kavitha, S.Sarmila, Anila Ramachandran,, Assistant Professor, ECE Dept, M.E Student, M.E. Student, M.E. Student Sri Eshwar

More information

16x16 Multiplier Design Using Asynchronous Pipeline Based On Constructed Critical Data Path

16x16 Multiplier Design Using Asynchronous Pipeline Based On Constructed Critical Data Path Volume 4 Issue 01 Pages-4786-4792 January-2016 ISSN (e): 2321-7545 Website: http://ijsae.in 16x16 Multiplier Design Using Asynchronous Pipeline Based On Constructed Critical Data Path Authors Channa.sravya

More information

Power and Delay Analysis of Critical Path Delay Design Using Domino Logic Multiplier

Power and Delay Analysis of Critical Path Delay Design Using Domino Logic Multiplier Power and Delay Analysis of Critical Path Delay Design Using Domino Logic Multiplier K.Anjaneya Reddy PG Scholar, Dept of ECE, AITS, Kadapa, AP-India ABSTRACT This paper presents a high-throughput and

More information

International Journal of Computer Science Trends and Technology (IJCST) Volume 2 Issue 5, Sep-Oct 2014

International Journal of Computer Science Trends and Technology (IJCST) Volume 2 Issue 5, Sep-Oct 2014 RESEARCH ARTICLE OPEN ACCESS A Survey on Efficient Low Power Asynchronous Pipeline Design Based on the Data Path Logic D. Nandhini 1, K. Kalirajan 2 ME 1 VLSI Design, Assistant Professor 2 Department of

More information

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset M.Santhi, Arun Kumar S, G S Praveen Kalish, Siddharth Sarangan, G Lakshminarayanan Dept of ECE, National Institute

More information

Design of Low Power Asynchronous Parallel Adder Benedicta Roseline. R 1 Kamatchi. S 2

Design of Low Power Asynchronous Parallel Adder Benedicta Roseline. R 1 Kamatchi. S 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 04, 2015 ISSN (online): 2321-0613 Design of Low Power Asynchronous Parallel Adder Benedicta Roseline. R 1 Kamatchi. S 2

More information

Implementation of Asynchronous Topology using SAPTL

Implementation of Asynchronous Topology using SAPTL Implementation of Asynchronous Topology using SAPTL NARESH NAGULA *, S. V. DEVIKA **, SK. KHAMURUDDEEN *** *(senior software Engineer & Technical Lead, Xilinx India) ** (Associate Professor, Department

More information

Implementation of ALU Using Asynchronous Design

Implementation of ALU Using Asynchronous Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 6 (Nov. - Dec. 2012), PP 07-12 Implementation of ALU Using Asynchronous Design P.

More information

POWER consumption has become one of the most important

POWER consumption has become one of the most important 704 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 Brief Papers High-Throughput Asynchronous Datapath With Software-Controlled Voltage Scaling Yee William Li, Student Member, IEEE, George

More information

Parallel, Single-Rail Self-Timed Adder. Formulation for Performing Multi Bit Binary Addition. Without Any Carry Chain Propagation

Parallel, Single-Rail Self-Timed Adder. Formulation for Performing Multi Bit Binary Addition. Without Any Carry Chain Propagation Parallel, Single-Rail Self-Timed Adder. Formulation for Performing Multi Bit Binary Addition. Without Any Carry Chain Propagation Y.Gowthami PG Scholar, Dept of ECE, MJR College of Engineering & Technology,

More information

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding N.Rajagopala krishnan, k.sivasuparamanyan, G.Ramadoss Abstract Field Programmable Gate Arrays (FPGAs) are widely

More information

Formulation for Performing Multi Bit Binary Addition using Parallel, Single-Rail Self-Timed Adder without Any Carry Chain Propagation

Formulation for Performing Multi Bit Binary Addition using Parallel, Single-Rail Self-Timed Adder without Any Carry Chain Propagation Formulation for Performing Multi Bit Binary Addition using Parallel, Single-Rail Self-Timed Adder without Any Carry Chain Propagation Y. Gouthami PG Scholar, Department of ECE, MJR College of Engineering

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 The Design of High-Performance Dynamic Asynchronous Pipelines: Lookahead Style Montek Singh and Steven M. Nowick Abstract A new class of asynchronous

More information

Area-Efficient Design of Asynchronous Circuits Based on Balsa Framework for Synchronous FPGAs

Area-Efficient Design of Asynchronous Circuits Based on Balsa Framework for Synchronous FPGAs Area-Efficient Design of Asynchronous ircuits Based on Balsa Framework for Synchronous FPGAs ERSA 12 Distinguished Paper Yoshiya Komatsu, Masanori Hariyama, and Michitaka Kameyama Graduate School of Information

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

Recursive Approach to the Design of a Parallel Self-Timed Adder

Recursive Approach to the Design of a Parallel Self-Timed Adder Recursive Approach to the Design of a Parallel Self-Timed Adder Ms K.Bhargavi Miss. C.NIRMALA Abstract: This brief presents a parallel single-rail self-timed adder. It is based on a recursive formulation

More information

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique P. Durga Prasad, M. Tech Scholar, C. Ravi Shankar Reddy, Lecturer, V. Sumalatha, Associate Professor Department

More information

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 84 CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 3.1 INTRODUCTION The introduction of several new asynchronous designs which provides high throughput and low latency is the significance of this chapter. The

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

ISSN Vol.05, Issue.02, February-2017, Pages:

ISSN Vol.05, Issue.02, February-2017, Pages: ISSN 2322-0929 Vol.05, Issue.02, February-2017, Pages:0246-0251 www.ijvdcs.org Design of a Parallel Self-Timed Adder with Recursive Approach Using Verilog HDL S. SAI PRASANNA 1, M. CHANDRA MOAHAN 2 1 PG

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

A Energy-Efficient Pipeline Templates for High-Performance Asynchronous Circuits

A Energy-Efficient Pipeline Templates for High-Performance Asynchronous Circuits A Energy-Efficient Pipeline Templates for High-Performance Asynchronous Circuits Basit Riaz Sheikh and Rajit Manohar, Cornell University We present two novel energy-efficient pipeline templates for high

More information

the main limitations of the work is that wiring increases with 1. INTRODUCTION

the main limitations of the work is that wiring increases with 1. INTRODUCTION Design of Low Power Speculative Han-Carlson Adder S.Sangeetha II ME - VLSI Design, Akshaya College of Engineering and Technology, Coimbatore sangeethasoctober@gmail.com S.Kamatchi Assistant Professor,

More information

The design of a simple asynchronous processor

The design of a simple asynchronous processor The design of a simple asynchronous processor SUN-YEN TAN 1, WEN-TZENG HUANG 2 1 Department of Electronic Engineering National Taipei University of Technology No. 1, Sec. 3, Chung-hsiao E. Rd., Taipei,10608,

More information

Recursive Approach for Design of a Parallel Self-Timed Adder Using Verilog HDL

Recursive Approach for Design of a Parallel Self-Timed Adder Using Verilog HDL Recursive Approach for Design of a Parallel Self-Timed Adder Using Verilog HDL Kairamkonda Srinivas M.Tech, Sreyas Institute of Engineering and Technology. G.Ramachandra Kumar, M.Tech Assistant Professor,

More information

A Synthesizable RTL Design of Asynchronous FIFO Interfaced with SRAM

A Synthesizable RTL Design of Asynchronous FIFO Interfaced with SRAM A Synthesizable RTL Design of Asynchronous FIFO Interfaced with SRAM Mansi Jhamb, Sugam Kapoor USIT, GGSIPU Sector 16-C, Dwarka, New Delhi-110078, India Abstract This paper demonstrates an asynchronous

More information

VLSI Implementation of Low Power Area Efficient FIR Digital Filter Structures Shaila Khan 1 Uma Sharma 2

VLSI Implementation of Low Power Area Efficient FIR Digital Filter Structures Shaila Khan 1 Uma Sharma 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 05, 2015 ISSN (online): 2321-0613 VLSI Implementation of Low Power Area Efficient FIR Digital Filter Structures Shaila

More information

Design of 8 bit Pipelined Adder using Xilinx ISE

Design of 8 bit Pipelined Adder using Xilinx ISE Design of 8 bit Pipelined Adder using Xilinx ISE 1 Jayesh Diwan, 2 Rutul Patel Assistant Professor EEE Department, Indus University, Ahmedabad, India Abstract An asynchronous circuit, or self-timed circuit,

More information

TEMPLATE BASED ASYNCHRONOUS DESIGN

TEMPLATE BASED ASYNCHRONOUS DESIGN TEMPLATE BASED ASYNCHRONOUS DESIGN By Recep Ozgur Ozdag A Dissertation Presented to the FACULTY OF THE GRADUATE SCHOOL UNIVERSITY OF SOUTHERN CALIFORNIA In Partial Fulfillment of the Requirements for the

More information

Architecture of an Asynchronous FPGA for Handshake-Component-Based Design

Architecture of an Asynchronous FPGA for Handshake-Component-Based Design 1632 PAPER Special Section on Reconfigurable Systems Architecture of an Asynchronous FPGA for Handshake-Component-Based Design Yoshiya KOMATSU a), Nonmember, Masanori HARIYAMA, Member, and Michitaka KAMEYAMA,

More information

On the Design of High Speed Parallel CRC Circuits using DSP Algorithams

On the Design of High Speed Parallel CRC Circuits using DSP Algorithams On the Design of High Speed Parallel CRC Circuits using DSP Algorithams 1 B.Naresh Reddy, 2 B.Kiran Kumar, 3 K.Mohini sirisha 1 Dept.of ECE,Kodada institute of Technology & Science for women,kodada,india

More information

High Performance Asynchronous Circuit Design Method and Application

High Performance Asynchronous Circuit Design Method and Application High Performance Asynchronous Circuit Design Method and Application Charlie Brej School of Computer Science, The University of Manchester, Oxford Road, Manchester, M13 9PL, UK. cbrej@cs.man.ac.uk Abstract

More information

Introduction to Asynchronous Circuits and Systems

Introduction to Asynchronous Circuits and Systems RCIM Presentation Introduction to Asynchronous Circuits and Systems Kristofer Perta April 02 / 2004 University of Windsor Computer and Electrical Engineering Dept. Presentation Outline Section - Introduction

More information

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY Saroja pasumarti, Asst.professor, Department Of Electronics and Communication Engineering, Chaitanya Engineering

More information

Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding

Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding Marcos Ferretti, Peter A. Beerel Department of Electrical Engineering Systems University of Southern California Los Angeles, CA 90089

More information

ANEW asynchronous pipeline style, called MOUSETRAP,

ANEW asynchronous pipeline style, called MOUSETRAP, 684 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 6, JUNE 2007 MOUSETRAP: High-Speed Transition-Signaling Asynchronous Pipelines Montek Singh and Steven M. Nowick Abstract

More information

ISSN Vol.05,Issue.09, September-2017, Pages:

ISSN Vol.05,Issue.09, September-2017, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.05,Issue.09, September-2017, Pages:1693-1697 AJJAM PUSHPA 1, C. H. RAMA MOHAN 2 1 PG Scholar, Dept of ECE(DECS), Shirdi Sai Institute of Science and Technology, Anantapuramu,

More information

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver E.Kanniga 1, N. Imocha Singh 2,K.Selva Rama Rathnam 3 Professor Department of Electronics and Telecommunication, Bharath

More information

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Senthil Ganesh R & R. Kalaimathi 1 Assistant Professor, Electronics and Communication Engineering, Info Institute of Engineering,

More information

Implementation of Reduce the Area- Power Efficient Fixed-Point LMS Adaptive Filter with Low Adaptation-Delay

Implementation of Reduce the Area- Power Efficient Fixed-Point LMS Adaptive Filter with Low Adaptation-Delay Implementation of Reduce the Area- Power Efficient Fixed-Point LMS Adaptive Filter with Low Adaptation-Delay A.Sakthivel 1, A.Lalithakumar 2, T.Kowsalya 3 PG Scholar [VLSI], Muthayammal Engineering College,

More information

ISSN Vol.08,Issue.12, September-2016, Pages:

ISSN Vol.08,Issue.12, September-2016, Pages: ISSN 2348 2370 Vol.08,Issue.12, September-2016, Pages:2273-2277 www.ijatir.org G. DIVYA JYOTHI REDDY 1, V. ROOPA REDDY 2 1 PG Scholar, Dept of ECE, TKR Engineering College, Hyderabad, TS, India, E-mail:

More information

Compact Clock Skew Scheme for FPGA based Wave- Pipelined Circuits

Compact Clock Skew Scheme for FPGA based Wave- Pipelined Circuits International Journal of Communication Engineering and Technology. ISSN 2277-3150 Volume 3, Number 1 (2013), pp. 13-22 Research India Publications http://www.ripublication.com Compact Clock Skew Scheme

More information

Reliable Physical Unclonable Function based on Asynchronous Circuits

Reliable Physical Unclonable Function based on Asynchronous Circuits Reliable Physical Unclonable Function based on Asynchronous Circuits Kyung Ki Kim Department of Electronic Engineering, Daegu University, Gyeongbuk, 38453, South Korea. E-mail: kkkim@daegu.ac.kr Abstract

More information

Carry Select Adder with High Speed and Power Efficiency

Carry Select Adder with High Speed and Power Efficiency International OPEN ACCESS Journal ISSN: 2249-6645 Of Modern Engineering Research (IJMER) Carry Select Adder with High Speed and Power Efficiency V P C Reddy, Chenchela V K Reddy 2, V Ravindra Reddy 3 (ECE

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

High-Speed Non-Linear Asynchronous Pipelines

High-Speed Non-Linear Asynchronous Pipelines High-Speed Non-Linear Asynchronous Pipelines Recep O. Ozdag 1 ozdag@usc.edu Montek Singh 2 montek@cs.unc.edu Peter A. Beerel 1 pabeerel@usc.edu Steven M. Nowick 3 nowick@cs.columbia.edu 1 Department of

More information

LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES

LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES LOGIC EFFORT OF CMOS BASED DUAL MODE LOGIC GATES D.Rani, R.Mallikarjuna Reddy ABSTRACT This logic allows operation in two modes: 1) static and2) dynamic modes. DML gates, which can be switched between

More information

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER Bhuvaneswaran.M 1, Elamathi.K 2 Assistant Professor, Muthayammal Engineering college, Rasipuram, Tamil Nadu, India 1 Assistant Professor, Muthayammal

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE.

16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE. 16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE. AditiPandey* Electronics & Communication,University Institute of Technology,

More information

IMPLEMENTATION OF PARALLEL SELF- TIMED ADDER USING FPGA TECHNOLOGY

IMPLEMENTATION OF PARALLEL SELF- TIMED ADDER USING FPGA TECHNOLOGY IMPLEMENTATION OF PARALLEL SELF- TIMED ADDER USING FPGA TECHNOLOGY 1 R.ARUN KUMAR, PG Scholar In VLSI System Design, 2 K.KANTHI KUMAR Assoc.Professor, ECE Department, 3 K V MURALI Mohan prof, HOD ECE Department,

More information

Introduction to asynchronous circuit design. Motivation

Introduction to asynchronous circuit design. Motivation Introduction to asynchronous circuit design Using slides from: Jordi Cortadella, Universitat Politècnica de Catalunya, Spain Michael Kishinevsky, Intel Corporation, USA Alex Kondratyev, Theseus Logic,

More information

Asynchronous Circuits: An Increasingly Practical Design Solution

Asynchronous Circuits: An Increasingly Practical Design Solution Asynchronous Circuits: An Increasingly Practical Design Solution Peter A. Beerel Fulcrum Microsystems Calabasas Hills, CA 91301 and Electrical Engineering, Systems Division University of Southern California

More information

Design and Implementation of CVNS Based Low Power 64-Bit Adder

Design and Implementation of CVNS Based Low Power 64-Bit Adder Design and Implementation of CVNS Based Low Power 64-Bit Adder Ch.Vijay Kumar Department of ECE Embedded Systems & VLSI Design Vishakhapatnam, India Sri.Sagara Pandu Department of ECE Embedded Systems

More information

ISSN Vol.05, Issue.12, December-2017, Pages:

ISSN Vol.05, Issue.12, December-2017, Pages: ISSN 2322-0929 Vol.05, Issue.12, December-2017, Pages:1174-1178 www.ijvdcs.org Design of High Speed DDR3 SDRAM Controller NETHAGANI KAMALAKAR 1, G. RAMESH 2 1 PG Scholar, Khammam Institute of Technology

More information

Reconfigurable PLL for Digital System

Reconfigurable PLL for Digital System International Journal of Engineering Research and Technology. ISSN 0974-3154 Volume 6, Number 3 (2013), pp. 285-291 International Research Publication House http://www.irphouse.com Reconfigurable PLL for

More information

Area And Power Optimized One-Dimensional Median Filter

Area And Power Optimized One-Dimensional Median Filter Area And Power Optimized One-Dimensional Median Filter P. Premalatha, Ms. P. Karthika Rani, M.E., PG Scholar, Assistant Professor, PA College of Engineering and Technology, PA College of Engineering and

More information

A Scalable Counterflow-Pipelined Asynchronous Radix-4 Booth Multiplier Λ

A Scalable Counterflow-Pipelined Asynchronous Radix-4 Booth Multiplier Λ A Scalable Counterflow-Pipelined Asynchronous Radix-4 Booth Multiplier Λ Justin Hensley, Anselmo Lastra and Montek Singh Department of Computer Science University of North Carolina, Chapel Hill, NC 27514,

More information

Modified Micropipline Architecture for Synthesizable Asynchronous FIR Filter Design

Modified Micropipline Architecture for Synthesizable Asynchronous FIR Filter Design Modified Micropipline Architecture for Synthesizable Asynchronous FIR Filter Design Basel Halak and Hsien-Chih Chiu, ECS, Southampton University, Southampton, SO17 1BJ, United Kingdom Email: {bh9, hc13g09}

More information

Design of Parallel Self-Timed Adder

Design of Parallel Self-Timed Adder Design of Parallel Self-Timed Adder P.S.PAWAR 1, K.N.KASAT 2 1PG, Dept of EEE, PRMCEAM, Badnera, Amravati, MS, India. 2Assistant Professor, Dept of EXTC, PRMCEAM, Badnera, Amravati, MS, India. ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes 1 U.Rahila Begum, 2 V. Padmajothi 1 PG Student, 2 Assistant Professor 1 Department Of

More information

Fault Tolerant Parallel Filters Based on ECC Codes

Fault Tolerant Parallel Filters Based on ECC Codes Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 597-605 Research India Publications http://www.ripublication.com Fault Tolerant Parallel Filters Based on

More information

High Performance and Area Efficient DSP Architecture using Dadda Multiplier

High Performance and Area Efficient DSP Architecture using Dadda Multiplier 2017 IJSRST Volume 3 Issue 6 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology High Performance and Area Efficient DSP Architecture using Dadda Multiplier V.Kiran Kumar

More information

A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter

A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter A.S. Sneka Priyaa PG Scholar Government College of Technology Coimbatore ABSTRACT The Least Mean Square Adaptive Filter is frequently

More information

Efficient Design of Radix Booth Multiplier

Efficient Design of Radix Booth Multiplier Efficient Design of Radix Booth Multiplier 1Head and Associate professor E&TC Department, Pravara Rural Engineering College Loni 2ME E&TC Engg, Pravara Rural Engineering College Loni --------------------------------------------------------------------------***----------------------------------------------------------------------------

More information

Design and Implementation of Signed, Rounded and Truncated Multipliers using Modified Booth Algorithm for Dsp Systems.

Design and Implementation of Signed, Rounded and Truncated Multipliers using Modified Booth Algorithm for Dsp Systems. Design and Implementation of Signed, Rounded and Truncated Multipliers using Modified Booth Algorithm for Dsp Systems. K. Ram Prakash 1, A.V.Sanju 2 1 Professor, 2 PG scholar, Department of Electronics

More information

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Analysis and Design of Low Voltage Low Noise LVDS Receiver IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. V (Mar - Apr. 2014), PP 10-18 Analysis and Design of Low Voltage Low Noise

More information

ISSN Vol.04,Issue.01, January-2016, Pages:

ISSN Vol.04,Issue.01, January-2016, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.04,Issue.01, January-2016, Pages:0077-0082 Implementation of Data Encoding and Decoding Techniques for Energy Consumption Reduction in NoC GORANTLA CHAITHANYA 1, VENKATA

More information

Adaptive Robustness Tuning for High Performance Domino Logic

Adaptive Robustness Tuning for High Performance Domino Logic Adaptive Robustness Tuning for High Performance Domino Logic Bharan Giridhar 1, David Fick 1, Matthew Fojtik 1, Sudhir Satpathy 1, David Bull 2, Dennis Sylvester 1 and David Blaauw 1 1 niversity of Michigan,

More information

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques S. Nand Singh Dr. R. Madhu M. Tech (VLSI Design) Assistant Professor UCEK, JNTUK. UCEK, JNTUK Abstract: Low power technology

More information

Low Power GALS Interface Implementation with Stretchable Clocking Scheme

Low Power GALS Interface Implementation with Stretchable Clocking Scheme www.ijcsi.org 209 Low Power GALS Interface Implementation with Stretchable Clocking Scheme Anju C and Kirti S Pande Department of ECE, Amrita Vishwa Vidyapeetham, Amrita School of Engineering Bangalore,

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY

POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY POWER REDUCTION IN CONTENT ADDRESSABLE MEMORY Latha A 1, Saranya G 2, Marutharaj T 3 1, 2 PG Scholar, Department of VLSI Design, 3 Assistant Professor Theni Kammavar Sangam College Of Technology, Theni,

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

A Survey on Static Power Reduction Techniques in Asynchronous Circuits

A Survey on Static Power Reduction Techniques in Asynchronous Circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 4, Ver. I (Jul-Aug. 2014), PP 64-69 e-issn: 2319 4200, p-issn No. : 2319 4197 A Survey on Static Power Reduction Techniques in Asynchronous

More information

Design and Implementation of High Performance DDR3 SDRAM controller

Design and Implementation of High Performance DDR3 SDRAM controller Design and Implementation of High Performance DDR3 SDRAM controller Mrs. Komala M 1 Suvarna D 2 Dr K. R. Nataraj 3 Research Scholar PG Student(M.Tech) HOD, Dept. of ECE Jain University, Bangalore SJBIT,Bangalore

More information

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 PP 11-18 www.iosrjen.org Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory S.Parkavi (1) And S.Bharath

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

Automated versus Manual Design of Asynchronous Circuits in DSM Technologies

Automated versus Manual Design of Asynchronous Circuits in DSM Technologies FACULDADE DE INFORMÁTICA PUCRS - Brazil http://www.inf.pucrs.br Automated versus Manual Design of Asynchronous Circuits in DSM Technologies Matheus Moreira, Bruno Oliveira, Julian Pontes, Ney Calazans

More information

A Countermeasure Circuit for Secure AES Engine against Differential Power Analysis

A Countermeasure Circuit for Secure AES Engine against Differential Power Analysis A Countermeasure Circuit for Secure AES Engine against Differential Power Analysis V.S.Subarsana 1, C.K.Gobu 2 PG Scholar, Member IEEE, SNS College of Engineering, Coimbatore, India 1 Assistant Professor

More information

VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier

VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier U.V.N.S.Suhitha Student Department of ECE, BVC College of Engineering, AP, India. Abstract: The ever growing need for improved

More information

Issue Logic for a 600-MHz Out-of-Order Execution Microprocessor

Issue Logic for a 600-MHz Out-of-Order Execution Microprocessor IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 5, MAY 1998 707 Issue Logic for a 600-MHz Out-of-Order Execution Microprocessor James A. Farrell and Timothy C. Fischer Abstract The logic and circuits

More information

Parallelized Radix-4 Scalable Montgomery Multipliers

Parallelized Radix-4 Scalable Montgomery Multipliers Parallelized Radix-4 Scalable Montgomery Multipliers Nathaniel Pinckney and David Money Harris 1 1 Harvey Mudd College, 301 Platt. Blvd., Claremont, CA, USA e-mail: npinckney@hmc.edu ABSTRACT This paper

More information

Volume 5, Issue 5 OCT 2016

Volume 5, Issue 5 OCT 2016 DESIGN AND IMPLEMENTATION OF REDUNDANT BASIS HIGH SPEED FINITE FIELD MULTIPLIERS Vakkalakula Bharathsreenivasulu 1 G.Divya Praneetha 2 1 PG Scholar, Dept of VLSI & ES, G.Pullareddy Eng College,kurnool

More information

Content Addressable Memory performance Analysis using NAND Structure FinFET

Content Addressable Memory performance Analysis using NAND Structure FinFET Global Journal of Pure and Applied Mathematics. ISSN 0973-1768 Volume 12, Number 1 (2016), pp. 1077-1084 Research India Publications http://www.ripublication.com Content Addressable Memory performance

More information

Asynchronous Early Output Dual-Bit Full Adders Based on Homogeneous and Heterogeneous Delay-Insensitive Data Encoding

Asynchronous Early Output Dual-Bit Full Adders Based on Homogeneous and Heterogeneous Delay-Insensitive Data Encoding WSEAS TRANSATIONS on IRUITS and SYSTEMS Asynchronous Early Output Dual-Bit Full Adders Based on Homogeneous and Heterogeneous Delay-Insensitive Data Encoding P. BALASUBRAMANIAN 1*, K. PRASAD 2 1 School

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

IMPLEMENTATION OF DOUBLE PRECISION FLOATING POINT RADIX-2 FFT USING VHDL

IMPLEMENTATION OF DOUBLE PRECISION FLOATING POINT RADIX-2 FFT USING VHDL IMPLEMENTATION OF DOUBLE PRECISION FLOATING POINT RADIX-2 FFT USING VHDL Tharanidevi.B 1, Jayaprakash.R 2 Assistant Professor, Dept. of ECE, Bharathiyar Institute of Engineering for Woman, Salem, TamilNadu,

More information

Performance Analysis of 64-Bit Carry Look Ahead Adder

Performance Analysis of 64-Bit Carry Look Ahead Adder Journal From the SelectedWorks of Journal November, 2014 Performance Analysis of 64-Bit Carry Look Ahead Adder Daljit Kaur Ana Monga This work is licensed under a Creative Commons CC_BY-NC International

More information

OPTIMIZING THE POWER USING FUSED ADD MULTIPLIER

OPTIMIZING THE POWER USING FUSED ADD MULTIPLIER Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 11, November 2014,

More information

Design and Characterization of High Speed Carry Select Adder

Design and Characterization of High Speed Carry Select Adder Design and Characterization of High Speed Carry Select Adder Santosh Elangadi MTech Student, Dept of ECE, BVBCET, Hubli, Karnataka, India Suhas Shirol Professor, Dept of ECE, BVBCET, Hubli, Karnataka,

More information

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT K.Sandyarani 1 and P. Nirmal Kumar 2 1 Research Scholar, Department of ECE, Sathyabama

More information

ARITHMETIC operations based on residue number systems

ARITHMETIC operations based on residue number systems IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 2, FEBRUARY 2006 133 Improved Memoryless RNS Forward Converter Based on the Periodicity of Residues A. B. Premkumar, Senior Member,

More information

Testability Design for Sleep Convention Logic

Testability Design for Sleep Convention Logic Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 561-566 Research India Publications http://www.ripublication.com Testability Design for Sleep Convention

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

IMPLEMENTATION OF DIGITAL CMOS COMPARATOR USING PARALLEL PREFIX TREE

IMPLEMENTATION OF DIGITAL CMOS COMPARATOR USING PARALLEL PREFIX TREE Int. J. Engg. Res. & Sci. & Tech. 2014 Nagaswapna Manukonda and H Raghunadh Rao, 2014 Research Paper ISSN 2319-5991 www.ijerst.com Vol. 3, No. 4, November 2014 2014 IJERST. All Rights Reserved IMPLEMENTATION

More information

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST SAKTHIVEL Assistant Professor, Department of ECE, Coimbatore Institute of Engineering and Technology Abstract- FPGA is

More information

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies

ISSN (Online), Volume 1, Special Issue 2(ICITET 15), March 2015 International Journal of Innovative Trends and Emerging Technologies VLSI IMPLEMENTATION OF HIGH PERFORMANCE DISTRIBUTED ARITHMETIC (DA) BASED ADAPTIVE FILTER WITH FAST CONVERGENCE FACTOR G. PARTHIBAN 1, P.SATHIYA 2 PG Student, VLSI Design, Department of ECE, Surya Group

More information