Annotated Memory References: A Mechanism for Informed Cache Management

Size: px
Start display at page:

Download "Annotated Memory References: A Mechanism for Informed Cache Management"

Transcription

1 Annotated Memory References: A Mechanism for Informed Cache Management Alvin R. Lebeck, David R. Raymond, Chia-Lin Yang Mithuna S. Thottethodi Department of Computer Science, Duke University

2 Motivation Importance of cache performance Allow software to assist in cache management Issues in software assisted cache management and scope of this study What information? How is the information conveyed? How is the information exploited? 2

3 Outline Motivation and scope The proposed mechanism Static Instruction Annotation The Tag Instruction Overhead - Not more than 2% Utilizing Annotated Memory References Retain/Release annotation Word/Block annotation Between 11% and 17% speedup Conclusion 3

4 Design Decisions What? How? Instruction (PC) Static Dynamic Address (EA) Static Instruction annotation One additional instruction (TAG) proposed Annotation Register 4

5 The Tag Instruction Tag instruction fills the Annotation Register Future n loads get k bits of annotation n : tag coverage 2 k : number of possible annotations Implementation issues in modern processors Multiple Issue Out-of-order Execution tag 0xff44 or r1, r2, r3 ld r1, 0(r4) ld r2, 8(r4) add r1, r2, r3 ld r7, 0(r1) ld r8, 8(r1) Annotation Register f f 4 4 5

6 Implementation Issues Multiple Issue WAR hazard Dependence Out of order execution Annotations associated with loads at decode time Load/Store queue entries hold annotation bits ld r9, 0(r8) tag 0xff44 ld r1, 0(r4) ld r2, 8(r4) add r1, r2, r3 ld r7, 0(r1) ld r8, 8(r1) Annotation Register f f 4 4 6

7 Instruction Overhead Number of Instructions (Billions) wave turb3d tomcatv swim su2cor mgrid hydro2d fpppp apsi applu vortex perl m88ksim li ijpeg go gcc compress All memory references annotated Instructions TAGs required 4 bits/annotation; Tag coverage of 6 Instruction overhead Integer codes : 5.5% to 16.2% Floating Point codes : 6.2% to 7.7% 7

8 Cycle Overheads - Experiments Statically scheduled processors ATOM based issue policies Perfect branch prediction Ideal memory system No inter-block dependencies Dynamically scheduled processors SimpleScalar simulator 4-way issue, 64 RUU, 32 LSQ Simple overhead computation 8

9 Statically Scheduled Processor Cycle Overhead (%) compress gcc go ijpeg li m88ksim perl vortex applu fpppp hydro2d mgrid su2cor swim tomcatv turb3d wave5 All memory references annotated 4 bits/annotation; Tag coverage of 6 Cycle overhead Integer Codes: 0% to 0.85% Floating Point Codes: 0% to 2% 9

10 Dynamically Scheduled Processor Cycle Overhead (%) apsi compress95 hydro2d su2cor swim tomcatv turb3d vortex wave All memory references annotated 4 bits/annotation; Tag coverage of 6 Cycle overhead Integer Codes: 0% to 0.2% Floating Point Codes: 0% to 1.76% 10

11 Outline Motivation and scope The proposed mechanism Static Annotation The Tag Instruction Overhead - Not more than 2% Utilizing Annotated Memory References Retain/Release annotation Word/Block annotation Between 11% and 17% speedup Conclusion 11

12 Utilizing Annotated Memory References Code inspection and manual insertion of annotations CProf tool to give insights of code operation Multimedia applications epic, ijpeg, pegwit 12

13 Better Block Replacement Insight: some blocks should be retained even if LRU block Retain/Release annotations A block marked Retain cannot be replaced unless Released Bypass cache if no replacement candidate epic Normalized Execution Time Without annotations With annotations 4-way issue, OoO processor 64 RUU, 32 LSQ entries 8KB, 32 Byte block, Direct Mapped 13

14 Better Block Sizes Insight : Implicit prefetch of larger blocks hurts performance WordMode/BlockMode annotations WordMode annotated references bring in only a word and not the whole block pegwit and ijpeg Normalized Execution Time Without annotations With annotations ijpeg pegwit 4-way issue, OoO processor 64 RUU, 32 LSQ entries 8KB, 32 Byte block, Direct Mapped 14

15 Conclusions Cache performance is critical Software can assist in managing caches We demonstrate a mechanism that allows software to help manage caches with low overheads (under 2%), and significant benefits (between 11% and 17% speedups) 15

16 Backup Slide : I-Cache Effects Maximum dynamic code expansion is 1.16 Worst case : if all memory references are annotated Approx. 25% increase in cache misses for ill behaved codes [Lebeck and Wood, 94] In practice Far fewer annotated memory references 16

17 Cycle Time Overhead Dependencies across basic blocks: Maximum inter-block dependencies Upper bound of execution time Lower bound of overhead No inter-block dependencies Lower bound of execution time Upper bound of overhead Issue of first instruction in basic block Max Dep No Dep Issue of last instruction in basic block Continued execution of instructions in basic block Time 17

18 Statically Scheduled Processor Percent Cycle Overhead compress gcc go ijpeg li m88ksim perl vortex applu fpppp hydro2d mgrid su2cor swim tomcatv turb3d wave5 No inter-block dependencies Max inter-block dependencies Integer Codes: 0% to 0.8% Floating Point Codes: 0% to 2% 18

19 Design Decisions What? How? Static Dynamic Instruction (PC) Abraham et al, 93 Tyson et al, 95 Tyson et al, 95 Address (EA) McFarling et al. 92 Rivers et al. 96 Johnson et al. 97 Inoue et al

20 Better Block Replacement Insight: some blocks should be retained even if LRU block Retain/Release annotations A block marked Retain cannot be replaced unless Released Bypass cache if no replacement candidate epic Normalized Execution Time Miss Rate (%) Without annotations With annotations 0 20

21 Insight : Implicit prefetch of larger blocks hurts performance WordMode/BlockMode annotations WordMode annotated references bring in only a word and not the whole block pegwit and ijpeg Better Block Sizes Normalized Execution Time Miss Rate (%) Without annotations With annotations ijpeg ijpeg pegwit pegwit 21

Evaluation of a High Performance Code Compression Method

Evaluation of a High Performance Code Compression Method Evaluation of a High Performance Code Compression Method Charles Lefurgy, Eva Piccininni, and Trevor Mudge Advanced Computer Architecture Laboratory Electrical Engineering and Computer Science Dept. The

More information

Multithreading Processors and Static Optimization Review. Adapted from Bhuyan, Patterson, Eggers, probably others

Multithreading Processors and Static Optimization Review. Adapted from Bhuyan, Patterson, Eggers, probably others Multithreading Processors and Static Optimization Review Adapted from Bhuyan, Patterson, Eggers, probably others Schedule of things to do By Wednesday the 9 th at 9pm Please send a milestone report (as

More information

Allocation By Conflict: A Simple, Effective Cache Management Scheme

Allocation By Conflict: A Simple, Effective Cache Management Scheme Allocation By Conflict: A Simple, Effective Cache Management Scheme Edward S. Tam, Gary S. Tyson, and Edward S. Davidson Advanced Computer Architecture Laboratory The University of Michigan {estam,tyson,davidson}@eecs.umich.edu

More information

Annotated Memory References: A Mechanism for Informed Cache Management

Annotated Memory References: A Mechanism for Informed Cache Management Annotated Memory References: A Mechanism for Informed Cache Management Alvin R. Lebeck, David A. Raymond, Chia-Lin Yang, Mithuna S. Thottethodi Department of Computer Science Duke University Durham, NC

More information

Computer Science 146. Computer Architecture

Computer Science 146. Computer Architecture Computer Architecture Spring 2004 Harvard University Instructor: Prof. dbrooks@eecs.harvard.edu Lecture 9: Limits of ILP, Case Studies Lecture Outline Speculative Execution Implementing Precise Interrupts

More information

Statistical Simulation of Superscalar Architectures using Commercial Workloads

Statistical Simulation of Superscalar Architectures using Commercial Workloads Statistical Simulation of Superscalar Architectures using Commercial Workloads Lieven Eeckhout and Koen De Bosschere Dept. of Electronics and Information Systems (ELIS) Ghent University, Belgium CAECW

More information

Computer Performance Evaluation: Cycles Per Instruction (CPI)

Computer Performance Evaluation: Cycles Per Instruction (CPI) Computer Performance Evaluation: Cycles Per Instruction (CPI) Most computers run synchronously utilizing a CPU clock running at a constant clock rate: where: Clock rate = 1 / clock cycle A computer machine

More information

Computing Along the Critical Path

Computing Along the Critical Path Computing Along the Critical Path Dean M. Tullsen Brad Calder Department of Computer Science and Engineering University of California, San Diego La Jolla, CA 92093-0114 UCSD Technical Report October 1998

More information

The character of the instruction scheduling problem

The character of the instruction scheduling problem The character of the instruction scheduling problem Darko Stefanović Department of Computer Science University of Massachusetts March 997 Abstract Here I present some measurements that serve to characterize

More information

Cost Effective Memory Dependence Prediction using Speculation Levels and Color Sets Λ

Cost Effective Memory Dependence Prediction using Speculation Levels and Color Sets Λ Cost Effective Memory Dependence Prediction using Speculation Levels and Color Sets Λ Soner Önder Department of Computer Science Michigan Technological University Houghton, MI 49931-1295 fsoner@mtu.edug

More information

predicted address tag prev_addr stride state effective address correct incorrect weakly predict predict correct correct incorrect correct

predicted address tag prev_addr stride state effective address correct incorrect weakly predict predict correct correct incorrect correct Data Dependence Speculation using Data Address Prediction and its Enhancement with Instruction Reissue Toshinori Sato Toshiba Microelectronics Engineering Laboratory 580-1, Horikawa-Cho, Saiwai-Ku, Kawasaki

More information

Multiple-Banked Register File Architectures

Multiple-Banked Register File Architectures Multiple-Banked Register File Architectures José-Lorenzo Cruz, Antonio González and Mateo Valero Nigel P. Topham Departament d Arquitectura de Computadors Siroyan Ltd Universitat Politècnica de Catalunya

More information

I-CACHE INSTRUCTION FETCHER. PREFETCH BUFFER FIFO n INSTRUCTION DECODER

I-CACHE INSTRUCTION FETCHER. PREFETCH BUFFER FIFO n INSTRUCTION DECODER Modeled and Measured Instruction Fetching Performance for Superscalar Microprocessors Steven Wallace and Nader Bagherzadeh Department of Electrical and Computer Engineering University of California, Irvine

More information

CHAPTER 15. Exploiting Load/Store Parallelism via Memory Dependence Prediction

CHAPTER 15. Exploiting Load/Store Parallelism via Memory Dependence Prediction CHAPTER 15 Exploiting Load/Store Parallelism via Memory Dependence Prediction Since memory reads or loads are very frequent, memory latency, that is the time it takes for memory to respond to requests

More information

Power/Performance Advantages of Victim Buer in. High-Performance Processors. R. Iris Bahar y. y Brown University. Division of Engineering.

Power/Performance Advantages of Victim Buer in. High-Performance Processors. R. Iris Bahar y. y Brown University. Division of Engineering. Power/Performance Advantages of Victim Buer in High-Performance Processors Gianluca Albera xy x Politecnico di Torino Dip. di Automatica e Informatica Torino, ITALY 10129 R. Iris Bahar y y Brown University

More information

Lecture 5: VLIW, Software Pipelining, and Limits to ILP. Review: Tomasulo

Lecture 5: VLIW, Software Pipelining, and Limits to ILP. Review: Tomasulo Lecture 5: VLIW, Software Pipelining, and Limits to ILP Professor David A. Patterson Computer Science 252 Spring 1998 DAP.F96 1 Review: Tomasulo Prevents Register as bottleneck Avoids WAR, WAW hazards

More information

Control Speculation in Multithreaded Processors through Dynamic Loop Detection

Control Speculation in Multithreaded Processors through Dynamic Loop Detection Control Speculation in Multithreaded Processors through Dynamic Loop Detection Jordi Tubella and Antonio González Departament d Arquitectura de Computadors Universitat Politècnica de Catalunya, Campus

More information

Lecture 5: VLIW, Software Pipelining, and Limits to ILP Professor David A. Patterson Computer Science 252 Spring 1998

Lecture 5: VLIW, Software Pipelining, and Limits to ILP Professor David A. Patterson Computer Science 252 Spring 1998 Lecture 5: VLIW, Software Pipelining, and Limits to ILP Professor David A. Patterson Computer Science 252 Spring 1998 DAP.F96 1 Review: Tomasulo Prevents Register as bottleneck Avoids WAR, WAW hazards

More information

Trade-offs for Skewed-Associative Caches

Trade-offs for Skewed-Associative Caches 1 Trade-offs for kewed-associative Caches Hans andierendonck and Koen De Bosschere Dept. of Electronics and Information ystems, Ghent University int-pietersnieuwstraat 1, 9000 Gent, Belgium. The skewed-associative

More information

Dynamic Memory Scheduling

Dynamic Memory Scheduling Dynamic : Computer Architecture Dynamic Scheduling Overview Lecture Overview The Problem Conventional Solutions In-order Load/Store Scheduling Blind Dependence Scheduling Conservative Dataflow Scheduling

More information

Overview of Today s Lecture: Cost & Price, Performance { 1+ Administrative Matters Finish Lecture1 Cost and Price Add/Drop - See me after class

Overview of Today s Lecture: Cost & Price, Performance { 1+ Administrative Matters Finish Lecture1 Cost and Price Add/Drop - See me after class Overview of Today s Lecture: Cost & Price, Performance EE176-SJSU Computer Architecture and Organization Lecture 2 Administrative Matters Finish Lecture1 Cost and Price Add/Drop - See me after class EE176

More information

A Cache Scheme Based on LRU-Like Algorithm

A Cache Scheme Based on LRU-Like Algorithm Proceedings of the 2010 IEEE International Conference on Information and Automation June 20-23, Harbin, China A Cache Scheme Based on LRU-Like Algorithm Dongxing Bao College of Electronic Engineering Heilongjiang

More information

Transient-Fault Recovery Using Simultaneous Multithreading

Transient-Fault Recovery Using Simultaneous Multithreading To appear in Proceedings of the International Symposium on ComputerArchitecture (ISCA), May 2002. Transient-Fault Recovery Using Simultaneous Multithreading T. N. Vijaykumar, Irith Pomeranz, and Karl Cheng

More information

Multiple Branch and Block Prediction

Multiple Branch and Block Prediction Multiple Branch and Block Prediction Steven Wallace and Nader Bagherzadeh Department of Electrical and Computer Engineering University of California, Irvine Irvine, CA 92697 swallace@ece.uci.edu, nader@ece.uci.edu

More information

A Cost-Effective Clustered Architecture

A Cost-Effective Clustered Architecture A Cost-Effective Clustered Architecture Ramon Canal, Joan-Manuel Parcerisa, Antonio González Departament d Arquitectura de Computadors Universitat Politècnica de Catalunya Cr. Jordi Girona, - Mòdul D6

More information

Measure, Report, and Summarize Make intelligent choices See through the marketing hype Key to understanding effects of underlying architecture

Measure, Report, and Summarize Make intelligent choices See through the marketing hype Key to understanding effects of underlying architecture Chapter 2 Note: The slides being presented represent a mix. Some are created by Mark Franklin, Washington University in St. Louis, Dept. of CSE. Many are taken from the Patterson & Hennessy book, Computer

More information

TDT 4260 lecture 7 spring semester 2015

TDT 4260 lecture 7 spring semester 2015 1 TDT 4260 lecture 7 spring semester 2015 Lasse Natvig, The CARD group Dept. of computer & information science NTNU 2 Lecture overview Repetition Superscalar processor (out-of-order) Dependencies/forwarding

More information

Low-Complexity Reorder Buffer Architecture*

Low-Complexity Reorder Buffer Architecture* Low-Complexity Reorder Buffer Architecture* Gurhan Kucuk, Dmitry Ponomarev, Kanad Ghose Department of Computer Science State University of New York Binghamton, NY 13902-6000 http://www.cs.binghamton.edu/~lowpower

More information

Speculative Versioning Cache: Unifying Speculation and Coherence

Speculative Versioning Cache: Unifying Speculation and Coherence Speculative Versioning Cache: Unifying Speculation and Coherence Sridhar Gopal T.N. Vijaykumar, Jim Smith, Guri Sohi Multiscalar Project Computer Sciences Department University of Wisconsin, Madison Electrical

More information

An Analysis of the Amount of Global Level Redundant Computation in the SPEC 95 and SPEC 2000 Benchmarks

An Analysis of the Amount of Global Level Redundant Computation in the SPEC 95 and SPEC 2000 Benchmarks An Analysis of the Amount of Global Level Redundant Computation in the SPEC 95 and SPEC 2000 s Joshua J. Yi and David J. Lilja Department of Electrical and Computer Engineering Minnesota Supercomputing

More information

Replenishing the Microarchitecture Treasure Chest. CMuART Members

Replenishing the Microarchitecture Treasure Chest. CMuART Members Replenishing the Microarchitecture Treasure Chest Prof. John Paul Shen Electrical and Computer Engineering Department University UT Austin -- Distinguished Lecture Series on Computer Architecture -- April,

More information

An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches

An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches To appear in the Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA), 2001. An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron

More information

Lecture 19: Memory Hierarchy Five Ways to Reduce Miss Penalty (Second Level Cache) Admin

Lecture 19: Memory Hierarchy Five Ways to Reduce Miss Penalty (Second Level Cache) Admin Lecture 19: Memory Hierarchy Five Ways to Reduce Miss Penalty (Second Level Cache) Professor Alvin R. Lebeck Computer Science 220 Fall 1999 Exam Average 76 90-100 4 80-89 3 70-79 3 60-69 5 < 60 1 Admin

More information

5008: Computer Architecture

5008: Computer Architecture 5008: Computer Architecture Chapter 2 Instruction-Level Parallelism and Its Exploitation CA Lecture05 - ILP (cwliu@twins.ee.nctu.edu.tw) 05-1 Review from Last Lecture Instruction Level Parallelism Leverage

More information

Getting CPI under 1: Outline

Getting CPI under 1: Outline CMSC 411 Computer Systems Architecture Lecture 12 Instruction Level Parallelism 5 (Improving CPI) Getting CPI under 1: Outline More ILP VLIW branch target buffer return address predictor superscalar more

More information

Memory Dependence Speculation Tradeoffs in Centralized, Continuous-Window Superscalar Processors

Memory Dependence Speculation Tradeoffs in Centralized, Continuous-Window Superscalar Processors Memory Dependence Speculation Tradeoffs in Centralized, Continuous-Window Superscalar Processors Andreas Moshovos Electrical and Computer Engineering Northwestern University moshovos@ece.nwu.edu Gurindar

More information

Hardware Identification of Cache Conflict Misses

Hardware Identification of Cache Conflict Misses Published in the Proceedings of the 32nd International Symposium on MicroArchitecture (Micro 32), November 999 Hardware Identification of Cache Conflict Misses Jamison D. Collins Dean M. Tullsen Department

More information

Lecture: Out-of-order Processors. Topics: out-of-order implementations with issue queue, register renaming, and reorder buffer, timing, LSQ

Lecture: Out-of-order Processors. Topics: out-of-order implementations with issue queue, register renaming, and reorder buffer, timing, LSQ Lecture: Out-of-order Processors Topics: out-of-order implementations with issue queue, register renaming, and reorder buffer, timing, LSQ 1 An Out-of-Order Processor Implementation Reorder Buffer (ROB)

More information

Power and Performance Tradeoffs using Various Caching Strategies

Power and Performance Tradeoffs using Various Caching Strategies Power and Performance Tradeoffs using Various Caching Strategies y Brown University Division of Engineering Providence, RI 02912 R. Iris Bahar y Gianluca Albera xy Srilatha Manne z x Politecnico di Torino

More information

Value-Based Clock Gating and Operation Packing: Dynamic Strategies for Improving Processor Power and Performance

Value-Based Clock Gating and Operation Packing: Dynamic Strategies for Improving Processor Power and Performance Value-Based Clock Gating and Operation Packing: Dynamic Strategies for Improving Processor Power and Performance DAVID BROOKS and MARGARET MARTONOSI Princeton University The large address space needs of

More information

The Von Neumann Computer Model

The Von Neumann Computer Model The Von Neumann Computer Model Partitioning of the computing engine into components: Central Processing Unit (CPU): Control Unit (instruction decode, sequencing of operations), Datapath (registers, arithmetic

More information

The Stanford Hydra CMP. Lance Hammond, Ben Hubbert, Michael Siu, Manohar Prabhu, Mark Willey, Michael Chen, Maciek Kozyrczak*, and Kunle Olukotun

The Stanford Hydra CMP. Lance Hammond, Ben Hubbert, Michael Siu, Manohar Prabhu, Mark Willey, Michael Chen, Maciek Kozyrczak*, and Kunle Olukotun The Stanford Hydra CMP Lance Hammond, Ben Hubbert, Michael Siu, Manohar Prabhu, Mark Willey, Michael Chen, Maciek Kozyrczak*, and Kunle Olukotun Computer Systems Laboratory Stanford University http://www-hydra.stanford.edu

More information

DataScalar Architectures

DataScalar Architectures A version of this paper appears in the th International Symposium on Computer Architecture, June, 997. Reprinted by permission of ACM DataScalar Architectures Doug Burger, Stefanos Kaxiras, and James R.

More information

The Stanford Hydra CMP. Lance Hammond, Ben Hubbert, Michael Siu, Manohar Prabhu, Michael Chen, Maciek Kozyrczak*, and Kunle Olukotun

The Stanford Hydra CMP. Lance Hammond, Ben Hubbert, Michael Siu, Manohar Prabhu, Michael Chen, Maciek Kozyrczak*, and Kunle Olukotun The Stanford Hydra CMP Lance Hammond, Ben Hubbert, Michael Siu, Manohar Prabhu, Michael Chen, Maciek Kozyrczak*, and Kunle Olukotun Computer Systems Laboratory Stanford University http://www-hydra.stanford.edu

More information

Reducing Power Requirements of Instruction Scheduling Through Dynamic Allocation of Multiple Datapath Resources*

Reducing Power Requirements of Instruction Scheduling Through Dynamic Allocation of Multiple Datapath Resources* Reducing Power Requirements of Instruction Scheduling Through Dynamic Allocation of Multiple Datapath Resources* Dmitry Ponomarev, Gurhan Kucuk, Kanad Ghose Department of Computer Science State University

More information

Exploitation of instruction level parallelism

Exploitation of instruction level parallelism Exploitation of instruction level parallelism Computer Architecture J. Daniel García Sánchez (coordinator) David Expósito Singh Francisco Javier García Blas ARCOS Group Computer Science and Engineering

More information

Power and Performance Tradeoffs using Various Cache Configurations

Power and Performance Tradeoffs using Various Cache Configurations Power and Performance Tradeoffs using Various Cache Configurations Gianluca Albera xy and R. Iris Bahar y x Politecnico di Torino Dip. di Automatica e Informatica Torino, ITALY 10129 y Brown University

More information

Se-Hyun Yang, Michael Powell, Babak Falsafi, Kaushik Roy, and T. N. Vijaykumar

Se-Hyun Yang, Michael Powell, Babak Falsafi, Kaushik Roy, and T. N. Vijaykumar AN ENERGY-EFFICIENT HIGH-PERFORMANCE DEEP-SUBMICRON INSTRUCTION CACHE Se-Hyun Yang, Michael Powell, Babak Falsafi, Kaushik Roy, and T. N. Vijaykumar School of Electrical and Computer Engineering Purdue

More information

Outline EEL 5764 Graduate Computer Architecture. Chapter 3 Limits to ILP and Simultaneous Multithreading. Overcoming Limits - What do we need??

Outline EEL 5764 Graduate Computer Architecture. Chapter 3 Limits to ILP and Simultaneous Multithreading. Overcoming Limits - What do we need?? Outline EEL 7 Graduate Computer Architecture Chapter 3 Limits to ILP and Simultaneous Multithreading! Limits to ILP! Thread Level Parallelism! Multithreading! Simultaneous Multithreading Ann Gordon-Ross

More information

35 th Design Automation Conference Copyright 1998 ACM

35 th Design Automation Conference Copyright 1998 ACM Code Compression for Embedded Systems Haris Lekatsas and Wayne Wolf Department of Electrical Engineering Princeton University flekatsas,wolfg@ee.princeton.edu Abstract Memory is one of the most restricted

More information

An Energy-Efficient High-Performance Deep-Submicron Instruction Cache

An Energy-Efficient High-Performance Deep-Submicron Instruction Cache An Energy-Efficient High-Performance Deep-Submicron Instruction Cache Michael D. Powell ϒ, Se-Hyun Yang β1, Babak Falsafi β1,kaushikroy ϒ, and T. N. Vijaykumar ϒ ϒ School of Electrical and Computer Engineering

More information

One-Level Cache Memory Design for Scalable SMT Architectures

One-Level Cache Memory Design for Scalable SMT Architectures One-Level Cache Design for Scalable SMT Architectures Muhamed F. Mudawar and John R. Wani Computer Science Department The American University in Cairo mudawwar@aucegypt.edu rubena@aucegypt.edu Abstract

More information

Chapter-5 Memory Hierarchy Design

Chapter-5 Memory Hierarchy Design Chapter-5 Memory Hierarchy Design Unlimited amount of fast memory - Economical solution is memory hierarchy - Locality - Cost performance Principle of locality - most programs do not access all code or

More information

Speculative Execution via Address Prediction and Data Prefetching

Speculative Execution via Address Prediction and Data Prefetching Speculative Execution via Address Prediction and Data Prefetching José González, Antonio González Departament d Arquitectura de Computadors Universitat Politècnica de Catalunya Barcelona, Spain Email:

More information

Exploiting Idle Floating-Point Resources for Integer Execution

Exploiting Idle Floating-Point Resources for Integer Execution Exploiting Idle Floating-Point Resources for Integer Execution, Subbarao Palacharla, James E. Smith University of Wisconsin, Madison Motivation Partitioned integer and floating-point resources on current

More information

José F. Martínez 1, Jose Renau 2 Michael C. Huang 3, Milos Prvulovic 2, and Josep Torrellas 2

José F. Martínez 1, Jose Renau 2 Michael C. Huang 3, Milos Prvulovic 2, and Josep Torrellas 2 CHERRY: CHECKPOINTED EARLY RESOURCE RECYCLING José F. Martínez 1, Jose Renau 2 Michael C. Huang 3, Milos Prvulovic 2, and Josep Torrellas 2 1 2 3 MOTIVATION Problem: Limited processor resources Goal: More

More information

TDT 4260 TDT ILP Chap 2, App. C

TDT 4260 TDT ILP Chap 2, App. C TDT 4260 ILP Chap 2, App. C Intro Ian Bratt (ianbra@idi.ntnu.no) ntnu no) Instruction level parallelism (ILP) A program is sequence of instructions typically written to be executed one after the other

More information

Utilizing Reuse Information in Data Cache Management

Utilizing Reuse Information in Data Cache Management Utilizing Reuse Information in Data Cache Management Jude A. Rivers, Edward S. Tam, Gary S. Tyson, Edward S. Davidson Advanced Computer Architecture Laboratory The University of Michigan Ann Arbor, Michigan

More information

Software-assisted Cache Mechanisms for Embedded Systems. Prabhat Jain

Software-assisted Cache Mechanisms for Embedded Systems. Prabhat Jain Software-assisted Cache Mechanisms for Embedded Systems by Prabhat Jain Bachelor of Engineering in Computer Engineering Devi Ahilya University, 1986 Master of Technology in Computer and Information Technology

More information

Performance, Cost and Amdahl s s Law. Arquitectura de Computadoras

Performance, Cost and Amdahl s s Law. Arquitectura de Computadoras Performance, Cost and Amdahl s s Law Arquitectura de Computadoras Arturo Díaz D PérezP Centro de Investigación n y de Estudios Avanzados del IPN adiaz@cinvestav.mx Arquitectura de Computadoras Performance-

More information

Administrivia. CMSC 411 Computer Systems Architecture Lecture 14 Instruction Level Parallelism (cont.) Control Dependencies

Administrivia. CMSC 411 Computer Systems Architecture Lecture 14 Instruction Level Parallelism (cont.) Control Dependencies Administrivia CMSC 411 Computer Systems Architecture Lecture 14 Instruction Level Parallelism (cont.) HW #3, on memory hierarchy, due Tuesday Continue reading Chapter 3 of H&P Alan Sussman als@cs.umd.edu

More information

The Impact of Instruction Compression on I-cache Performance

The Impact of Instruction Compression on I-cache Performance Technical Report CSE-TR--97, University of Michigan The Impact of Instruction Compression on I-cache Performance I-Cheng K. Chen Peter L. Bird Trevor Mudge EECS Department University of Michigan {icheng,pbird,tnm}@eecs.umich.edu

More information

Lecture-13 (ROB and Multi-threading) CS422-Spring

Lecture-13 (ROB and Multi-threading) CS422-Spring Lecture-13 (ROB and Multi-threading) CS422-Spring 2018 Biswa@CSE-IITK Cycle 62 (Scoreboard) vs 57 in Tomasulo Instruction status: Read Exec Write Exec Write Instruction j k Issue Oper Comp Result Issue

More information

h Coherence Controllers

h Coherence Controllers High-Throughput h Coherence Controllers Anthony-Trung Nguyen Microprocessor Research Labs Intel Corporation 9/30/03 Motivations Coherence Controller (CC) throughput is bottleneck of scalable systems. CCs

More information

UG4 Honours project selection: Talk to Vijay or Boris if interested in computer architecture projects

UG4 Honours project selection: Talk to Vijay or Boris if interested in computer architecture projects Announcements UG4 Honours project selection: Talk to Vijay or Boris if interested in computer architecture projects Inf3 Computer Architecture - 2017-2018 1 Last time: Tomasulo s Algorithm Inf3 Computer

More information

PowerPC 620 Case Study

PowerPC 620 Case Study Chapter 6: The PowerPC 60 Modern Processor Design: Fundamentals of Superscalar Processors PowerPC 60 Case Study First-generation out-of-order processor Developed as part of Apple-IBM-Motorola alliance

More information

Introduction to Pipelined Datapath

Introduction to Pipelined Datapath 14:332:331 Computer Architecture and Assembly Language Week 12 Introduction to Pipelined Datapath [Adapted from Dave Patterson s UCB CS152 slides and Mary Jane Irwin s PSU CSE331 slides] 331 W12.1 Review:

More information

CMSC 411 Computer Systems Architecture Lecture 13 Instruction Level Parallelism 6 (Limits to ILP & Threading)

CMSC 411 Computer Systems Architecture Lecture 13 Instruction Level Parallelism 6 (Limits to ILP & Threading) CMSC 411 Computer Systems Architecture Lecture 13 Instruction Level Parallelism 6 (Limits to ILP & Threading) Limits to ILP Conflicting studies of amount of ILP Benchmarks» vectorized Fortran FP vs. integer

More information

CS A Large, Fast Instruction Window for Tolerating. Cache Misses 1. Tong Li Jinson Koppanalil Alvin R. Lebeck. Department of Computer Science

CS A Large, Fast Instruction Window for Tolerating. Cache Misses 1. Tong Li Jinson Koppanalil Alvin R. Lebeck. Department of Computer Science CS 2002 03 A Large, Fast Instruction Window for Tolerating Cache Misses 1 Tong Li Jinson Koppanalil Alvin R. Lebeck Jaidev Patwardhan Eric Rotenberg Department of Computer Science Duke University Durham,

More information

Measuring Program Similarity

Measuring Program Similarity Measuring Program Similarity Aashish Phansalkar, Ajay Joshi, Lieven Eeckhout, and Lizy K. John {aashish, ajoshi, ljohn}@ece.utexas.edu, leeckhou@elis.ugent.be University of Texas, Austin Ghent University,

More information

Lecture 11: Out-of-order Processors. Topics: more ooo design details, timing, load-store queue

Lecture 11: Out-of-order Processors. Topics: more ooo design details, timing, load-store queue Lecture 11: Out-of-order Processors Topics: more ooo design details, timing, load-store queue 1 Problem 0 Show the renamed version of the following code: Assume that you have 36 physical registers and

More information

Lecture 9: Case Study MIPS R4000 and Introduction to Advanced Pipelining Professor Randy H. Katz Computer Science 252 Spring 1996

Lecture 9: Case Study MIPS R4000 and Introduction to Advanced Pipelining Professor Randy H. Katz Computer Science 252 Spring 1996 Lecture 9: Case Study MIPS R4000 and Introduction to Advanced Pipelining Professor Randy H. Katz Computer Science 252 Spring 1996 RHK.SP96 1 Review: Evaluating Branch Alternatives Two part solution: Determine

More information

Task Selection for a Multiscalar Processor

Task Selection for a Multiscalar Processor To appear in the 31st International Symposium on Microarchitecture, Dec. 1998 Task Selection for a Multiscalar Processor T. N. Vijaykumar vijay@ecn.purdue.edu School of Electrical and Computer Engineering

More information

Transient Fault Detection via Simultaneous Multithreading

Transient Fault Detection via Simultaneous Multithreading Transient Fault Detection via Simultaneous Multithreading Steven K. Reinhardt EECS Department University of Michigan, Ann Arbor 1301 Beal Avenue Ann Arbor, MI 48109-2122 stever@eecs.umich.edu Shubhendu

More information

Lecture 11: SMT and Caching Basics. Today: SMT, cache access basics (Sections 3.5, 5.1)

Lecture 11: SMT and Caching Basics. Today: SMT, cache access basics (Sections 3.5, 5.1) Lecture 11: SMT and Caching Basics Today: SMT, cache access basics (Sections 3.5, 5.1) 1 Thread-Level Parallelism Motivation: a single thread leaves a processor under-utilized for most of the time by doubling

More information

Review 1/2. Dave Patterson ( www-inst.eecs.berkeley.edu/~cs152/

Review 1/2. Dave Patterson (  www-inst.eecs.berkeley.edu/~cs152/ CS 52 L9 Adv. Pipe.5 () CS52 Computer Architecture and Engineering Lecture 9 Advanced Pipelining: Pentium III & 4, AMD Athlon & Opteron, VLIW and Itanium I & II 2003-0-30 Dave Patterson (www.cs.berkeley.edu/~patterson)

More information

Improving Value Prediction by Exploiting Both Operand and Output Value Locality

Improving Value Prediction by Exploiting Both Operand and Output Value Locality Improving Value Prediction by Exploiting Both Operand and Output Value Locality Jian Huang and Youngsoo Choi Department of Computer Science and Engineering Minnesota Supercomputing Institute University

More information

CISC 662 Graduate Computer Architecture Lecture 13 - Limits of ILP

CISC 662 Graduate Computer Architecture Lecture 13 - Limits of ILP CISC 662 Graduate Computer Architecture Lecture 13 - Limits of ILP Michela Taufer http://www.cis.udel.edu/~taufer/teaching/cis662f07 Powerpoint Lecture Notes from John Hennessy and David Patterson s: Computer

More information

CS61C Performance. Lecture 23. April 21, 1999 Dave Patterson (http.cs.berkeley.edu/~patterson)

CS61C Performance. Lecture 23. April 21, 1999 Dave Patterson (http.cs.berkeley.edu/~patterson) cs 61C L23 performance.1 CS61C Performance Lecture 23 April 21, 1999 Dave Patterson (http.cs.berkeley.edu/~patterson) www-inst.eecs.berkeley.edu/~cs61c/schedule.html Outline Review HP-PA, Intel 80x86 instruction

More information

Using dynamic cache management techniques to reduce energy in a high-performance processor *

Using dynamic cache management techniques to reduce energy in a high-performance processor * Using dynamic cache management techniques to reduce energy in a high-performance processor * Nikolaos Bellas, Ibrahim Haji, and Constantine Polychronopoulos Department of Electrical & Computer Engineering

More information

Lecture 7 Instruction Level Parallelism (5) EEC 171 Parallel Architectures John Owens UC Davis

Lecture 7 Instruction Level Parallelism (5) EEC 171 Parallel Architectures John Owens UC Davis Lecture 7 Instruction Level Parallelism (5) EEC 171 Parallel Architectures John Owens UC Davis Credits John Owens / UC Davis 2007 2009. Thanks to many sources for slide material: Computer Organization

More information

Area-Efficient Error Protection for Caches

Area-Efficient Error Protection for Caches Area-Efficient Error Protection for Caches Soontae Kim Department of Computer Science and Engineering University of South Florida, FL 33620 sookim@cse.usf.edu Abstract Due to increasing concern about various

More information

EI 338: Computer Systems Engineering (Operating Systems & Computer Architecture)

EI 338: Computer Systems Engineering (Operating Systems & Computer Architecture) EI 338: Computer Systems Engineering (Operating Systems & Computer Architecture) Dept. of Computer Science & Engineering Chentao Wu wuct@cs.sjtu.edu.cn Download lectures ftp://public.sjtu.edu.cn User:

More information

Dual-Core Execution: Building A Highly Scalable Single-Thread Instruction Window

Dual-Core Execution: Building A Highly Scalable Single-Thread Instruction Window Dual-Core Execution: Building A Highly Scalable Single-Thread Instruction Window Huiyang Zhou School of Computer Science University of Central Florida New Challenges in Billion-Transistor Processor Era

More information

Virtual memory why? Virtual memory parameters Compared to first-level cache Parameter First-level cache Virtual memory. Virtual memory concepts

Virtual memory why? Virtual memory parameters Compared to first-level cache Parameter First-level cache Virtual memory. Virtual memory concepts Lecture 16 Virtual memory why? Virtual memory: Virtual memory concepts (5.10) Protection (5.11) The memory hierarchy of Alpha 21064 (5.13) Virtual address space proc 0? s space proc 1 Physical memory Virtual

More information

Reactive-Associative Caches

Reactive-Associative Caches Reactive-Associative Caches Brannon Batson Alpha Design Group Compaq Computer Corporation bbatson@pa.dec.com T. N. Vijaykumar School of Electrical & Computer Engineering Purdue University vijay@ecn.purdue.edu

More information

On High-Bandwidth Data Cache Design for Multi-Issue Processors

On High-Bandwidth Data Cache Design for Multi-Issue Processors Copyright 1997 IEEE. Published in the Proceedings of Micro-30, December 1-3, 1997 in Research Triangle Park, North Carolina. Personal use of this material is permitted. However, permission to reprint/republish

More information

Design of Experiments - Terminology

Design of Experiments - Terminology Design of Experiments - Terminology Response variable Measured output value E.g. total execution time Factors Input variables that can be changed E.g. cache size, clock rate, bytes transmitted Levels Specific

More information

CS252 S05. Outline. Dynamic Branch Prediction. Static Branch Prediction. Dynamic Branch Prediction. Dynamic Branch Prediction

CS252 S05. Outline. Dynamic Branch Prediction. Static Branch Prediction. Dynamic Branch Prediction. Dynamic Branch Prediction Outline CMSC Computer Systems Architecture Lecture 9 Instruction Level Parallelism (Static & Dynamic Branch ion) ILP Compiler techniques to increase ILP Loop Unrolling Static Branch ion Dynamic Branch

More information

CSE 820 Graduate Computer Architecture. week 6 Instruction Level Parallelism. Review from Last Time #1

CSE 820 Graduate Computer Architecture. week 6 Instruction Level Parallelism. Review from Last Time #1 CSE 820 Graduate Computer Architecture week 6 Instruction Level Parallelism Based on slides by David Patterson Review from Last Time #1 Leverage Implicit Parallelism for Performance: Instruction Level

More information

Register Packing Exploiting Narrow-Width Operands for Reducing Register File Pressure

Register Packing Exploiting Narrow-Width Operands for Reducing Register File Pressure Register Packing Exploiting Narrow-Width Operands for Reducing Register File Pressure Oguz Ergin*, Deniz Balkan, Kanad Ghose, Dmitry Ponomarev Department of Computer Science State University of New York

More information

Gated-V dd : A Circuit Technique to Reduce Leakage in Deep-Submicron Cache Memories

Gated-V dd : A Circuit Technique to Reduce Leakage in Deep-Submicron Cache Memories To appear in the Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2000. Gated-V dd : A Circuit Technique to Reduce in Deep-Submicron Cache Memories Michael Powell,

More information

Increasing Instruction-Level Parallelism with Instruction Precomputation

Increasing Instruction-Level Parallelism with Instruction Precomputation Increasing Instruction-Level Parallelism with Instruction Precomputation Joshua J. Yi, Resit Sendag, and David J. Lilja Department of Electrical and Computer Engineering Minnesota Supercomputing Institute

More information

Instruction Level Parallelism. Taken from

Instruction Level Parallelism. Taken from Instruction Level Parallelism Taken from http://www.cs.utsa.edu/~dj/cs3853/lecture5.ppt Outline ILP Compiler techniques to increase ILP Loop Unrolling Static Branch Prediction Dynamic Branch Prediction

More information

Improving Data Cache Performance via Address Correlation: An Upper Bound Study

Improving Data Cache Performance via Address Correlation: An Upper Bound Study Improving Data Cache Performance via Address Correlation: An Upper Bound Study Peng-fei Chuang 1, Resit Sendag 2, and David J. Lilja 1 1 Department of Electrical and Computer Engineering Minnesota Supercomputing

More information

Improving Performance of an L1 Cache With an. Associated Buer. Vijayalakshmi Srinivasan. Electrical Engineering and Computer Science,

Improving Performance of an L1 Cache With an. Associated Buer. Vijayalakshmi Srinivasan. Electrical Engineering and Computer Science, Improving Performance of an L1 Cache With an Associated Buer Vijayalakshmi Srinivasan Electrical Engineering and Computer Science, University of Michigan, 1301 Beal Avenue, Ann Arbor, MI 48109-2122,USA.

More information

Characterization of Silent Stores

Characterization of Silent Stores Characterization of Silent Stores Gordon B. Bell, Kevin M. Lepak and Mikko H. Lipasti Electrical and Computer Engineering University of Wisconsin 1415 Engineering Drive Madison, WI 53706 {gbell,lepak,mikko@ece.wisc.edu

More information

Delaying Physical Register Allocation Through Virtual-Physical Registers

Delaying Physical Register Allocation Through Virtual-Physical Registers Delaying Physical Register Allocation Through Virtual-Physical Registers Teresa Monreal, Antonio González*, Mateo Valero*, José González* and Victor Viñals Departamento de Informática e Ing. de Sistemas

More information

Super Scalar. Kalyan Basu March 21,

Super Scalar. Kalyan Basu March 21, Super Scalar Kalyan Basu basu@cse.uta.edu March 21, 2007 1 Super scalar Pipelines A pipeline that can complete more than 1 instruction per cycle is called a super scalar pipeline. We know how to build

More information

CPU Performance Evaluation: Cycles Per Instruction (CPI) Most computers run synchronously utilizing a CPU clock running at a constant clock rate:

CPU Performance Evaluation: Cycles Per Instruction (CPI) Most computers run synchronously utilizing a CPU clock running at a constant clock rate: CPI CPU Performance Evaluation: Cycles Per Instruction (CPI) Most computers run synchronously utilizing a CPU clock running at a constant clock rate: Clock cycle where: Clock rate = 1 / clock cycle f =

More information