Freescale Semiconductor, Inc.

Size: px
Start display at page:

Download "Freescale Semiconductor, Inc."

Transcription

1 nc. SEMICONDUCTOR TECHNICAL DATA Product Proposal 16-Bit Microcontroller PP Rev. 3, 12-May-04 Based on Motorola s market-leading flash technology, members of the deliver the power and flexibility of our 16 Bit core (CPU12) family to a whole new range of cost and space sensitive, general purpose Industrial and Automotive network applications. members are comprised of standard on-chip peripherals including a 16-bit central processing unit (CPU12), up to 128K bytes of Flash EEPROM or ROM, up to 4K bytes of RAM, an asynchronous serial communications interface (SCI), a serial peripheral interface (SPI), an 8-channel 16-bit timer module (TIM), a 6-channel 8-bit Pulse Width Modulator (PWM), an 8-channel, 10-bit analog-to-digital converter (ADC) and up to one CAN 2.0 A, B software compatible module (MSCAN12). The has full 16-bit data paths throughout. The inclusion of a PLL circuit allows power consumption and performance to be adjusted to suit operational requirements. In addition to the I/O ports available in each module, up to 10 dedicated I/O port bits are available with Wake-Up capability from STOP or WAIT mode. The is available in 48, 52 and 80 pin QFP packages, with the 80 Pin version pin compatible to the HCS12 B and D- Family derivatives. The C-Family includes ROM versions MC3S12C128/96/64/32/16 of all devices which provide a further cost reduction path for applications with high volume and stable code. Features 16-bit HCS12 CORE HCS12 CPU MMC (memory map and interface) INT (interrupt control) BDM (background debug mode) DBG12 (enhanced debug12 module including breakpoints and change-of-flow trace buffer) Multiplexed Expansion Bus (available only in 80 pin package version) 16-bit HCS12 CPU Upward compatible with M68HC11 instruction set Interrupt stacking and programmer s model identical to M68HC11 Instruction queue Enhanced indexed addressing Wake-up interrupt inputs Up to 10-port bits available for wake up interrupt function Memory options 16K, 32K, 64K, 96K and 128K Byte Flash EEPROM (erasable in 512-byte sectors) or 16K, 32K, 64K, 96K and 128K Byte ROM 2K and 4K Byte RAM Analog-to-Digital Converters One 8-channel module with 10-bit resolution. External conversion trigger capability Up to one 1M bit per second, CAN 2.0 A, B software compatible modules Five receive and three transmit buffers This document contains information on a new product. Specifications and information herein are subject to change without notice For More Information On This Product,

2 nc. Flexible identifier filter programmable as 2 x 32 bit, 4 x 16 bit or 8 x 8 bit Four separate interrupt channels for receive, transmit, error and wake-up Low-pass filter wake-up function Loop-back for self test operation Timer Module (TIM) 16-bit Counter with 7-bit Prescaler 8 programmable input capture or output compare channels Simple PWM Mode Modulo Reset of Timer Counter 16-Bit Pulse Accumulator External Event Counting Gated Time Accumulation 6 PWM channels Programmable period and duty cycle 8-bit 6-channel or 16-bit 3-channel Separate control for each pulse width and duty cycle Center-aligned or left-aligned outputs Programmable clock select logic with a wide range of frequencies Fast emergency shutdown input Serial interfaces One asynchronous serial communications interface (SCI) One synchronous serial peripheral interface (SPI) CRG (Clock Reset Generator Module) Windowed COP watchdog, Real time interrupt, Clock monitor, Clock generation Reset Generation Phase-locked loop clock frequency multiplier Limp home mode in absence of external clock Low power 0.5 to 16 MHz crystal oscillator reference clock Operation frequency 32MHz equivalent to 16MHz Bus Speed for single chip 32MHz equivalent to 16MHz Bus Speed in expanded bus modes Option: 50MHz equivalent to 25MHz Bus Speed Internal 2.5V Regulator Supports an input voltage range from 3.3V-10% to 5.5V Low power mode capability Includes low voltage reset (LVR) circuitry Includes low voltage interrupt (LVI) circuitry 48-Pin LQFP, 52-Pin LQFP or 80-Pin QFP package Up to 58 I/O lines with 5V input and drive capability Up to 2 dedicated 5V input only lines (IRQ, XIRQ) 5V A/D converter inputs and 5V I/O Development support Single-wire background debug mode (BDM) On-chip hardware breakpoints Enhanced DBG12 debug features 2 For More Information On This PRODUCT Product, PROPOSAL, Rev. 3, 12-May-04

3 nc. Table 1 List of members Flash ROM RAM Package Device CAN SCI SPI A/D PWM Timer I/O 48LQFP MC9S12C ch 6ch 8ch K 0 4K 52LQFP MC9S12C ch 6ch 8ch 35 80QFP MC9S12C ch 6ch 8ch 60 48LQFP MC9S12C ch 6ch 8ch 31 96K 0 4K 52LQFP MC9S12C ch 6ch 8ch 35 80QFP MC9S12C ch 6ch 8ch 60 48LQFP MC9S12C ch 6ch 8ch 31 64K 0 4K 52LQFP MC9S12C ch 6ch 8ch 35 80QFP MC9S12C ch 6ch 8ch 60 48LQFP MC9S12C ch 6ch 8ch 31 32K 0 2K 52LQFP MC9S12C ch 6ch 8ch 35 80QFP MC9S12C ch 6ch 8ch 60 32K 0 2K 48LQFP MC9S12GC ch 6ch 8ch 31 52LQFP MC9S12GC ch 6ch 8ch 35 16K 0 2K 48LQFP MC9S12GC ch 6ch 8ch 31 52LQFP MC9S12GC ch 6ch 8ch 35 48LQFP MC3S12C ch 6ch 8ch K 4K 52LQFP MC3S12C ch 6ch 8ch 35 80QFP MC3S12C ch 6ch 8ch 60 48LQFP MC3S12C ch 6ch 8ch K 4K 52LQFP MC3S12C ch 6ch 8ch 35 80QFP MC3S12C ch 6ch 8ch 60 48LQFP MC3S12C ch 6ch 8ch K 4K 52LQFP MC3S12C ch 6ch 8ch 35 80QFP MC3S12C ch 6ch 8ch 60 48LQFP MC3S12C ch 6ch 8ch K 2K 52LQFP MC3S12C ch 6ch 8ch 35 80QFP MC3S12C ch 6ch 8ch K 2K 48LQFP MC3S12GC ch 6ch 8ch 31 52LQFP MC3S12GC ch 6ch 8ch K 2K 48LQFP MC9S12GC ch 6ch 8ch 31 52LQFP MC9S12GC ch 6ch 8ch 35 Pin out explanations: I/O is the sum of ports capable to act as digital input or output. For 80 Pin Versions: Port A = 8, B = 8, E = input only, J = 2, M = 6, P = 8, S = 4, T = 8, PAD = inputs provide Interrupt capability (P= 8, J = 2, IRQ, XIRQ) For 52 Pin Versions: Port A = 3, B = 1, E = input only, M = 6, P = 3, S = 2, T = 8, PAD = 8. 5 inputs provide Interrupt capability (P= 3, IRQ, XIRQ) For 48 Pin Versions: Port A = 1, B = 1, E = input only, M = 6, P = 1, S = 2, T = 8, PAD = 8. 3 inputs provide Interrupt capability (P= 1, IRQ, XIRQ) PRODUCT PROPOSAL, Rev. For 3, 12-May-04 More Information On This Product, 3

4 nc. VSSR VDDR VDDX VSSX VDD2 VSS2 VDD1 VSS1 BKGD XFC VDDPLL VSSPLL EXTAL XTAL RESET PE0 PE1 PE2 PE3 PE4 PE5 PE6 PE7 TEST/VPP Multiplexed Wide Bus Voltage Regulator 32K, 64K, 96K, 128K Byte Flash/ROM 2K, 4K Byte RAM Single-wire Background Debug12 Module PLL PTE DDRE PA7 PA6 ADDR15 ADDR14 DATA15 DATA14 Clock and Reset Generation Module XIRQ IRQ R/W LSTRB/TAGLO ECLK MODA/IPIPE0 MODB/IPIPE1 NOACC/XCLKS HCS12 CPU COP Watchdog Clock Monitor Periodic Interrupt System Integration Module (SIM) Multiplexed Address/Data Bus DDRA PTA PA5 PA4 PA3 PA2 PA1 PA0 ADDR13 ADDR12 ADDR11 ADDR10 ADDR9 ADDR8 DATA13 DATA12 DATA11 DATA10 DATA9 DATA8 Internal Logic 2.5V VDD1,2 VSS1,2 PB7 PB6 ADDR7 ADDR6 DATA7 DATA6 DDRB PTB PB5 PB4 PB3 PB2 PB1 PB0 ADDR5 ADDR4 ADDR3 ADDR2 ADDR1 ADDR0 DATA5 DATA4 DATA3 DATA2 DATA1 DATA0 I/O Driver 5V VDDX VSSX ATD Timer Module PWM Module SCI MSCAN SPI IOC0 IOC1 IOC2 IOC3 IOC4 IOC5 IOC6 IOC7 PW0 PW1 PW2 PW3 PW4 PW5 RXD TXD RXCAN TXCAN MISO SS MOSI SCK VDDA VSSA VRH VRL AN0 AN1 AN2 AN3 AN4 AN5 AN6 AN7 MUX DDRAD PTAD DDRT PTT Keypad Interrupt DDRP PTP Key Int DDRJ PTJ DDRS PTS DDRM PTM VDDA VSSA VRH VRL PAD0 PAD1 PAD2 PAD3 PAD4 PAD5 PAD6 PAD7 PT0 PT1 PT2 PT3 PT4 PT5 PT6 PT7 PP0 PP1 PP2 PP3 PP4 PP5 PP6 PP7 PJ6 PJ7 PS0 PS1 PS2 PS3 PM0 PM1 PM2 PM3 PM4 PM5 Signals shown in Bold are not available on the 52 or 48 Pin Package Signals shown in Bold Italic are available in the 52, but not the 48 Pin Package PLL 2.5V VDDPLL VSSPLL A/D Converter 5V VDDA VSSA VRL is bonded internally to VSSA for 52 and 48 Pin packages Voltage Regulator 5V & I/O VDDR VSSR Figure 1 Block Diagram 4 For More Information On This PRODUCT Product, PROPOSAL, Rev. 3, 12-May-04

5 nc. $0400 $3000 $03FF $3FFF $3000 1K Register Space Mappable to any 2K Boundary 4K Bytes RAM $4000 $3FFF $4000 Mappable to any 4K Boundary NORMAL EXT EXPANDED SPECIAL $7FFF $BFFF BDM (If Active) The figure shows a useful map, which is not the map out of reset. After reset the map is: - $03FF: Register Space - $0FFF: 4K RAM (only 3K visible $ $0FFF) Flash Erase Sector Size is 1024 Bytes Figure 2 MCxS12C128 User Configurable Memory Map 16K Page Window 8 * 16K Flash EEPROM/ROM Pages PRODUCT PROPOSAL, Rev. For 3, 12-May-04 More Information On This Product, 5

6 nc. $0400 $3000 $03FF $3FFF $3000 1K Register Space Mappable to any 2K Boundary 4K Bytes RAM $4000 $3FFF $4000 Mappable to any 4K Boundary NORMAL EXT EXPANDED SPECIAL $7FFF $BFFF BDM (If Active) The figure shows a useful map, which is not the map out of reset. After reset the map is: - $03FF: Register Space - $0FFF: 4K RAM (only 3K visible $ $0FFF) Flash Erase Sector Size is 1024 Bytes Figure 3 MCxS12C96 User Configurable Memory Map 16K Page Window 6 * 16K Flash EEPROM/ROM Pages 6 For More Information On This PRODUCT Product, PROPOSAL, Rev. 3, 12-May-04

7 nc. $0400 $3000 $03FF $3FFF $3000 1K Register Space Mappable to any 2K Boundary 4K Bytes RAM $4000 $3FFF $4000 Mappable to any 4K Boundary NORMAL EXT EXPANDED SPECIAL $7FFF $BFFF BDM (If Active) The figure shows a useful map, which is not the map out of reset. After reset the map is: - $03FF: Register Space - $0FFF: 4K RAM (only 3K visible $ $0FFF) Flash Erase Sector Size is 512 Bytes Figure 4 MCxS12C64 User Configurable Memory Map 16K Page Window 4 * 16K Flash EEPROM/ROM Pages PRODUCT PROPOSAL, Rev. For 3, 12-May-04 More Information On This Product, 7

8 nc. $0400 $03FF 1K Register Space Mappable to any 2K Boundary $3800 $3800 2K Bytes RAM $4000 $3FFF Mappable to any 2K Boundary NORMAL EXT EXPANDED SPECIAL $BFFF BDM (If Active) The figure shows a useful map, which is not the map out of reset. After reset the map is: - $03FF: Register Space $ $0FFF: 2K RAM Flash Erase Sector Size is 512 Bytes Figure 5 MCxS12C32 User Configurable Memory Map 16K Page Window 2 * 16K Flash EEPROM/ROM Pages 8 For More Information On This PRODUCT Product, PROPOSAL, Rev. 3, 12-May-04

9 nc. $0400 $03FF 1K Register Space Mappable to any 2K Boundary $3800 $3800 2K Bytes RAM $4000 $3FFF Mappable to any 2K Boundary NORMAL EXT EXPANDED SPECIAL $BFFF BDM (If Active) The figure shows a useful map, which is not the map out of reset. After reset the map is: - $03FF: Register Space $ $0FFF: 2K RAM Flash Erase Sector Size is 512 Bytes Figure 6 MCxS12C16 User Configurable Memory Map 16K Page Window 1 * 16K Flash EEPROM/ROM Page PRODUCT PROPOSAL, Rev. For 3, 12-May-04 More Information On This Product, 9

10 nc. PP4/KWP4/PW4 PP5/KWP5/PW5 PP7/KWP7 VDDX VSSX PM0/RXCAN PM1/TXCAN PM2/MISO PM3/SS PM4/MOSI PM5/SCK PJ6/KWJ6 PJ7/KWJ7 PP6/KWP6/ROMONE PS3 PS2 PS1/TXD PS0/RXD VSSA VRL PW3/KWP3/PP3 PW2/KWP2/PP2 PW1/KWP1/PP1 PW0/KWP0/PP0 PW0/IOC0/PT0 PW1/IOC1/PT1 PW2/IOC2/PT2 PW3/IOC3/PT3 VDD1 VSS1 PW4/IOC4/PT4 IOC5/PT5 IOC6/PT6 IOC7/PT7 MODC/TAGHI/BKGD ADDR0/DATA0/PB0 ADDR1/DATA1/PB1 ADDR2/DATA2/PB2 ADDR3/DATA3/PB3 ADDR4/DATA4/PB QFP ADDR5/DATA5/PB5 ADDR6/DATA6/PB6 ADDR7/DATA7/PB7 XCLKS/NOACC/PE7 MODB/IPIPE1/PE6 MODA/IPIPE0/PE5 ECLK/PE4 VSSR VDDR RESET VDDPLL XFC VSSPLL EXTAL XTAL TEST/VPP LSTRB/TAGLO/PE3 R/W/PE2 IRQ/PE1 XIRQ/PE0 Signals shown in Bold are not available on the 52 or 48 Pin Package Signals shown in Bold Italic are available in the 52, but not the 48 Pin Package VRH VDDA PAD07/AN07 PAD06/AN06 PAD05/AN05 PAD04/AN04 PAD03/AN03 PAD02/AN02 PAD01/AN01 PAD00/AN00 VSS2 VDD2 PA7/ADDR15/DATA15 PA6/ADDR14/DATA14 PA5/ADDR13/DATA13 PA4/ADDR12/DATA12 PA3/ADDR11/DATA11 PA2/ADDR10/DATA10 PA1/ADDR9/DATA9 PA0/ADDR8/DATA8 Figure 7 Pin Assignments in 80 QFP for!!! Pin-out is Subject to Change!!! 10 For More Information On This PRODUCT Product, PROPOSAL, Rev. 3, 12-May-04

11 nc. PP4/KWP4/PW4 PP5/KWP5/PW5 VDDX VSSX PM0/RXCAN PM1/TXCAN PM2/MISO PM3/SS PM4/MOSI PM5/SCK PS1/TXD PS0/RXD VSSA PW3/KWP3/PP3 PW0/IOC0/PT0 PW1/IOC1/PT1 PW2/IOC2/PT2 PW3/IOC3/PT3 VDD1 VSS1 PW4/IOC4/PT4 IOC5/PT5 IOC6/PT6 IOC7/PT7 MODC/BKGD PB QFP XCLKS/PE7 ECLK/PE4 VSSR VDDR RESET VDDPLL XFC VSSPLL EXTAL XTAL TEST/VPP IRQ/PE1 XIRQ/PE * Signals shown in Bold are not available on the 48 Pin Package VRH VDDA PAD07/AN07 PAD06/AN06 PAD05/AN05 PAD04/AN04 PAD03/AN03 PAD02/AN02 PAD01/AN01 PAD00/AN00 PA2 PA1 PA0 Figure 8 Pin assignments 52 QFP for PRODUCT PROPOSAL, Rev. For 3, 12-May-04 More Information On This Product, 11

12 nc. PP5/KWP5 VDDX VSSX PM0/RXCAN PM1/TXCAN PM2/MISO PM3/SS PM4/MOSI PM5/SCK PS1/TXD PS0/RXD VSSA PW0/IOC0/PT0 PW1/IOC1/PT1 PW2/IOC2/PT2 PW3/IOC3/PT3 VDD1 VSS1 PW4/IOC4/PT4 IOC5/PT5 IOC6/PT6 IOC7/PT7 MODC/BKGD PB LQFP XCLKS/PE7 ECLK/PE4 VSSR VDDR RESET VDDPLL XFC VSSPLL EXTAL XTAL TEST/VPP IRQ/PE1 Figure 9 Pin Assignments in 48 LQFP for VRH VDDA PAD07/AN07 PAD06/AN06 PAD05/AN05 PAD04/AN04 PAD03/AN03 PAD02/AN02 PAD01/AN01 PAD00/AN00 PA0 XIRQ/PE0 12 For More Information On This PRODUCT Product, PROPOSAL, Rev. 3, 12-May-04

13 Package mechanical information nc. B 9 1 T B1 12 AB AC 4X 4X AB T-U A1 48 A AC T-U Z Z Z S1 S BASE METAL F D G N M AC T-U Z SECTION AE-AE J AD 36 U 25 V1 DETAIL Y V AC C H E AE P DETAIL Y M TOP & BOTTOM DETAIL AD W AE T, U, Z K AA NOTES: 1. DIMENSIONING AND TOLERANCING PER ASME Y14.5M, CONTROLLING DIMENSION: MILLIMETER. 2. DATUM PLANE AB IS LOCATED AT BOTTOM OF LEAD AND IS COINCIDENT WITH THE LEAD WHERE THE LEAD EXITS THE PLASTIC BODY AT THE BOTTOM OF THE PARTING LINE. 3. DATUMS T, U, AND Z TO BE DETERMINED AT DATUM PLANE AB. 4. DIMENSIONS S AND V TO BE DETERMINED AT SEATING PLANE AC. 5. DIMENSIONS A AND B DO NOT INCLUDE MOLD PROTRUSION. ALLOWABLE PROTRUSION IS PER SIDE. DIMENSIONS A AND B DO INCLUDE MOLD MISMATCH AND ARE DETERMINED AT DATUM PLANE AB. 6. DIMENSION D DOES NOT INCLUDE DAMBAR PROTRUSION. DAMBAR PROTRUSION SHALL NOT CAUSE THE D DIMENSION TO EXCEED R MILLIMETERS DIM MIN MAX A BSC A BSC B BSC B BSC C D E F G BSC H J K L 0 7 M 12 REF N P BSC R S BSC S BSC V BSC V BSC W REF AA REF L GAUGE PLANE Figure pin LQFP Mechanical Dimensions (case no ISSUE F) PRODUCT PROPOSAL, Rev. For 3, 12-May-04 More Information On This Product, 13

14 nc. 4X X 13 TIPS 0.20 (0.008) H L-M N 0.20 (0.008) T L-M N -X- X=L, M, N 1 39 C L -L- 3X VIEW Y -M- AB AB G B V VIEW Y B1 V1 PLATING F BASE METAL J U C A1 S1 C2 -N- A S 4X θ2 4X θ (0.002) S C1 VIEW AA -H- -T- SEATING PLANE W θ1 K E Z 0.10 (0.004) T VIEW AA 2X R R (0.010) θ GAGE PLANE D 0.13 (0.005) M T L-M S N S SECTION AB-AB ROTATED 90 CLOCKWISE NOTES: 2. DIMENSIONING AND TOLERANCING PER ANSI Y14.5M, CONTROLLING DIMENSION: MILLIMETER 4. DATUM PLANE -H- IS LOCATED AT BOTTOM OF LEAD AND IS COINCIDENT WITH THE LEAD WHERE THE LEAD EXITS THE PLASTIC BODY AT THE BOTTOM OF THE PARTING LINE. 5. DATUMS -L-, -M- AND -N- TO BE DETERMINED AT DATUM PLANE -H-. 6. DIMENSIONS S AND V TO BE DETERMINED AT SEATING PLANE -T-. 7. DIMENSIONS A AND B DO NOT INCLUDE MOLD PROTRUSION. ALLOWABLE PROTRUSION IS 0.25 (0.010) PER SIDE. DIMENSIONS A AND B DO INCLUDE MOLD MISMATCH AND ARE DETERMINED AT DATUM PLANE -H- 8. DIMENSION D DOES NOT INCLUDE DAMBAR PROTRUSION. DAMBAR PROTRUSION SHALL NOT CAUSE THE LEAD WIDTH TO EXCEED 0.46 (0.018). MINIMUM SPACE BETWEEN PROTRUSION AND MILLIMETERS INCHES DIM MIN MAX MIN MAX A BSC BSC A BSC BSC B BSC BSC B BSC BSC C C C D E F G 0.65 BSC BSC J K 0.50 REF REF R S BSC BSC S BSC BSC U V BSC BSC V BSC BSC W 0.20 REF REF Z 1.00 REF REF θ θ θ2 12 REF 12 REF θ3 12 REF 12 REF Figure pin LQFP Mechanical Dimensions (case no. 848D-03) 14 For More Information On This PRODUCT Product, PROPOSAL, Rev. 3, 12-May-04

15 nc. L L -A- DETAIL A B 0.20 M H A-B S D S 0.05 D V 0.20 M C A-B S D S B B DETAIL A P -B- -A-,-B-,-D- DATUM PLANE C -H- -H- E 80 H D- A 0.20 M H A-B S D S 0.05 A-B S G DETAIL C 0.20 M C A-B S D S W X K U T R Q M M 21 DETAIL C -C- SEATING PLANE DATUM PLANE 0.10 NOTES: 1. DIMENSIONING AND TOLERANCING PER ANSI Y14.5M, CONTROLLING DIMENSION: MILLIMETER. 3. DATUM PLANE -H- IS LOCATED AT BOTTOM OF LEAD AND IS COINCIDENT WITH THE LEAD WHERE THE LEAD EXITS THE PLASTIC BODY AT THE BOTTOM OF THE PARTING LINE. 4. DATUMS -A-, -B- AND -D- TO BE DETERMINED AT DATUM PLANE -H-. 5. DIMENSIONS S AND V TO BE DETERMINED AT SEATING PLANE -C-. 6. DIMENSIONS A AND B DO NOT INCLUDE MOLD PROTRUSION. ALLOWABLE PROTRUSION IS 0.25 PER SIDE. DIMENSIONS A AND B DO INCLUDE MOLD MISMATCH AND ARE DETERMINED AT DATUM PLANE -H-. 7. DIMENSION D DOES NOT INCLUDE DAMBAR PROTRUSION. ALLOWABLE DAMBAR PROTRUSION SHALL BE 0.08 TOTAL IN EXCESS OF THE D DIMENSION AT MAXIMUM MATERIAL CONDITION. DAMBAR CANNOT BE LOCATED ON THE LOWER RADIUS OR THE FOOT. J F SECTION B-B VIEW ROTATED 90 N D 0.20 M C A-B S D S MILLIMETERS DIM MIN MAX A B C D E F G 0.65 BSC H J K L REF M 5 10 N P BSC Q 0 7 R S T U V W X 1.6 REF Figure pin QFP Mechanical Dimensions (case no. 841B) PRODUCT PROPOSAL, Rev. For 3, 12-May-04 More Information On This Product, 15

16 nc. Motorola reserves the right to make changes without further notice to any products herein. Motorola makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does Motorola assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation consequential or incidental damages. Typical parameters can and do vary in different applications. All operating parameters, including Typicals must be validated for each customer application by customer s technical experts. Motorola does not convey any license under its patent rights nor the rights of others. Motorola products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the Motorola product could create a situation where personal injury or death may occur. Should Buyer purchase or use Motorola products for any such unintended or unauthorized application, Buyer shall indemnify and hold Motorola and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that Motorola was negligent regarding the design or manufacture of the part. Motorola and are registered trademarks of Motorola, Inc. Motorola, Inc. is an Equal Opportunity/Affirmative Action Employer. How to reach us: USA/EUROPE: Motorola Literature Distribution; P.O. Box 5405, Denver, Colorado HOME PAGE: JAPAN: Motorola Japan Ltd.; SPS, Technial Information Center, , Minami-Azabu, Minato-ku, Tokyo Japan ASIA/PACIFIC: Motorola Semiconductors H.K. Ltd.; Silicon Harbour Centre, 2 Dai King Street, Tai Po Industrial Estate, Tai Po, N.T., Hong Kong CUSTOMER FOCUS CENTER: Motorola, 2004 For More Information On This Product,

17 This datasheet has been download from: Datasheets for electronics components.

CEG 411/611 Laboratory 1. Laboratory Familiarization

CEG 411/611 Laboratory 1. Laboratory Familiarization CEG 411/611 Laboratory 1 PURPOSE Laboratory Familiarization The purpose of this lab is to introduce you to the equipment and procedures used in the CEG 411/611 Laboratory. This is a one-week project. The

More information

Freescale Semiconductor, I

Freescale Semiconductor, I nc. Product Proposal Rev. 0.7, 8/2003 HCS12DB Family Product Proposal Introduction Designed for automotive multiplexing applications, members of the MC9S12DB-Family of 16 bit Flash-based microcontrollers

More information

Freescale Semiconductor, Inc.

Freescale Semiconductor, Inc. nc. MOTOROLA SEMICONDUCTOR TECHNICAL DATA Product Preview 16-Bit Microcontroller MC9S12K-Family Rev 6.0, 21-Oct-03 MC9S12K-Family Targeted for ultra high reliability systems, members of the MC9S12K-Family

More information

Freescale Semiconductor, I

Freescale Semiconductor, I MOTOROLA SEMICONDUCTOR TECHNICAL DATA nc. Order number: Rev 3, 08/2004 3.3 V Zero Delay Buffer The is a 3.3 V Zero Delay Buffer designed to distribute high-speed clocks in PC, workstation, datacom, telecom

More information

MC9S12A256 Device Guide V01.01

MC9S12A256 Device Guide V01.01 9S12A256DGV1/D 4/2002 MC9S12A256 Device Guide V01.01 Original Release Date: 8 March 2002 Revised: 12 April 2002 Motorola, Inc Motorola reserves the right to make changes without further notice to any products

More information

Input and Output Ports. How do you get data into a computer from the outside?

Input and Output Ports. How do you get data into a computer from the outside? Input and Output Ports How do you get data into a computer from the outside? SIMPLIFIED INPUT PORT D 7 Any read from address $0000 gets signals from outside H C 2 D a t a D D D4 D3 S i g n a l s F r o

More information

EB366. In-Circuit Programming of FLASH Memory Using the Monitor Mode for the MC68HC908GP32. Introduction

EB366. In-Circuit Programming of FLASH Memory Using the Monitor Mode for the MC68HC908GP32. Introduction Order this document by /D REV 1 Semiconductor Products Sector Engineering Bulletin In-Circuit Programming of FLASH Memory Using the Monitor Mode for the MC68HC908GP32 By Timothy J. Airaudi Applications

More information

However, if an event comes in when the new value is being written to the pulse accumulator counter, that event could be missed. One solution to this p

However, if an event comes in when the new value is being written to the pulse accumulator counter, that event could be missed. One solution to this p Engineering Bulletin 7/2003 HC11 and HC12 Families Pulse Accumulator By Darci Ernst Systems Engineering Austin, TX Introduction and Background Detailed Description The timer module is one of the peripherals

More information

DEMO9S08AC60E. User s Guide. Freescale Semiconductor User s Guide. DEMO9S08AC60EUG Rev. 0.1, 11/2007

DEMO9S08AC60E. User s Guide. Freescale Semiconductor User s Guide. DEMO9S08AC60EUG Rev. 0.1, 11/2007 Freescale Semiconductor User s Guide DEMO9S08AC60EUG Rev. 0.1, 11/2007 DEMO9S08AC60E User s Guide Freescale Semiconductor, Inc., 2007. All rights reserved. How to Reach Us: USA/Europe/Locations not listed:

More information

Mask Set Errata. Introduction. MCU Device Mask Set Identification. MCU Device Date Codes. MCU Device Part Number Prefixes MSE08AZ32_0J66D 12/2002

Mask Set Errata. Introduction. MCU Device Mask Set Identification. MCU Device Date Codes. MCU Device Part Number Prefixes MSE08AZ32_0J66D 12/2002 Mask Set Errata MSE08AZ32_0J66D 12/2002 Mask Set Errata for MC68HC08AZ32, Mask 0J66D Introduction This mask set errata applies to this MC68HC08AZ32 MCU mask set: 0J66D MCU Device Mask Set Identification

More information

M68HC12. Microcontrollers MC68HC912DT128A MC68HC912DG128A MC68HC912DT128C MC68HC912DG128C MC68HC912DT128P MC68HC912DG128P.

M68HC12. Microcontrollers MC68HC912DT128A MC68HC912DG128A MC68HC912DT128C MC68HC912DG128C MC68HC912DT128P MC68HC912DG128P. MC68HC912DT128A MC68HC912DG128A MC68HC912DT128C MC68HC912DG128C MC68HC912DT128P MC68HC912DG128P Technical Data M68HC12 Microcontrollers MC912DT128A/D Rev. 4, 10/2003 MOTOROLA.COM/SEMICONDUCTORS MC68HC912DT128A

More information

Interfacing HCS12 Microcontrollers to the MFR4200 FlexRay Controller

Interfacing HCS12 Microcontrollers to the MFR4200 FlexRay Controller Freescale Semiconductor Application Note AN3216 Rev. 0, 2/2006 Interfacing HCS12 Microcontrollers to the MFR4200 FlexRay Controller by: David Paterson MCD Applications, East Kilbride 1 Introduction Freescale

More information

2005: 0.5 PQ-MDS-PCIEXP

2005: 0.5 PQ-MDS-PCIEXP HW Getting Started Guide PQ-MDS-PCIEXP Adaptor December 2005: Rev 0.5 PQ-MDS-PCIEXP Adaptor HW Getting Started Guide Step 1:Check HW kit contents 1.PQ-MDS-PCIEXP Adaptor 2.PIB (Platform I/O Board) to PCIEXP

More information

EB380. Migrating from the MC68HC811E2 to the MC68HC711E9. Freescale Semiconductor, I. Introduction. Migrating to the MC68HC711E9

EB380. Migrating from the MC68HC811E2 to the MC68HC711E9. Freescale Semiconductor, I. Introduction. Migrating to the MC68HC711E9 nc. Semiconductor Products Sector Engineering Bulletin Order this document by /D Migrating from the MC68HC811E2 to the MC68HC711E9 By Timothy J. Airaudi Applications Engineering, Microcontroller Division

More information

1.3 General Parameters

1.3 General Parameters Advance Information MPC8245RZUPNS/D Rev. 0, 3/2002 MPC8245 Part Number Specification for the XPC8245RZUnnnx Series Motorola Part Numbers Affected: XPC8245RZU400B This document describes part-number-specific

More information

SRAM SRAM SRAM SCLK khz

SRAM SRAM SRAM SCLK khz MOTOROLA nc. SEMICONDUCTOR PRODUCT INFORMATION Advance Information Evaluation Module Order this document by: P/D The DSP56603 Evaluation Module () is designed as a low-cost platform for developing real-time

More information

Performance Factors nc. 2 Performance Factors The following sections discuss performance factors. 2.1 MPX vs. 60x Bus Mode One of the main factors tha

Performance Factors nc. 2 Performance Factors The following sections discuss performance factors. 2.1 MPX vs. 60x Bus Mode One of the main factors tha nc. White Paper MPC74XXBUSWP Rev. 1.1, 11/2003 Memory Bus Throughput of the MPC74xx Richie David, CPD Applications risc10@email.mot.com This document compares the memory bus data transfer rate of the MPC7450

More information

Advance Information 24-BIT GENERAL PURPOSE DIGITAL SIGNAL PROCESSOR

Advance Information 24-BIT GENERAL PURPOSE DIGITAL SIGNAL PROCESSOR MOTOROLA SEMICONDUCTOR PRODUCT BRIEF Order this document by: DSP56309PB/D, Rev 0 DSP56309 Advance Information 24-BIT GENERAL PURPOSE DIGITAL SIGNAL PROCESSOR The DSP56309 is a member of the DSP56300 core

More information

Figure 1. Power Barrel Connector Requirements

Figure 1. Power Barrel Connector Requirements Freescale Semiconductor Quick Start Guide Rev. 0.1, 06/29/2004 DEMO9S12NE64 Demo Quick Start Guide Introduction This kit and guide contains everything you need to get started. You will connect the board

More information

nc. Factors which affect reset are: 1. External components attached to the RESET pin 2. Low-voltage reset (LVR) on chip 3. Power-on reset (POR) detect

nc. Factors which affect reset are: 1. External components attached to the RESET pin 2. Low-voltage reset (LVR) on chip 3. Power-on reset (POR) detect nc. Order this document by /D Motorola Semiconductor Resetting MCUs By Ross Mitchell MCU Applications Motorola Ltd. East Kilbride, Scotland Introduction A simple function such as reset can cause many problems

More information

SRAM SRAM SRAM. Data Bus EXTAL ESSI KHz MHz. In Headphone CS MHz. Figure 1 DSP56302EVM Functional Block Diagram

SRAM SRAM SRAM. Data Bus EXTAL ESSI KHz MHz. In Headphone CS MHz. Figure 1 DSP56302EVM Functional Block Diagram MOTOROLA SEMICONDUCTOR PRODUCT INFORMATION Advance Information Evaluation Module Order this document by: P/D The Evaluation Module () is designed as a low-cost platform for developing real-time software

More information

PQ-MDS-QOC3 Module. HW Getting Started Guide. Contents. About This Document. Required Reading. Definitions, Acronyms, and Abbreviations

PQ-MDS-QOC3 Module. HW Getting Started Guide. Contents. About This Document. Required Reading. Definitions, Acronyms, and Abbreviations HW Getting Started Guide PQ-MDS-QOC3 Module July 2006: Rev. A Contents Contents........................................................................................ 1 About This Document..............................................................................

More information

etpu General Function Set (Set 1) David Paterson MCD Applications Engineer

etpu General Function Set (Set 1) David Paterson MCD Applications Engineer Freescale Semiconductor Application Note Document Number: AN2863 Rev. 0, 12/2007 etpu General Function Set (Set 1) by David Paterson MCD Applications Engineer 1 Introduction This application note complements

More information

Mask Set Errata. Introduction. MCU Device Mask Set Identification. MCU Device Date Codes. MCU Device Part Number Prefixes

Mask Set Errata. Introduction. MCU Device Mask Set Identification. MCU Device Date Codes. MCU Device Part Number Prefixes Mask Set Errata MSE912DT128C_1L05H Rev 0.0, 01/2003 Mask Set Errata for 68HC912DT128C Mask 1L05H Introduction This mask set errata applies to the following MCU mask set: 1L05H MCU Device Mask Set Identification

More information

MCF5445x Configuration and Boot Options Michael Norman Microcontroller Division

MCF5445x Configuration and Boot Options Michael Norman Microcontroller Division Freescale Semiconductor Application Note Document Number: AN3515 Rev. 1, 04/2008 MCF5445x Configuration and Boot Options by: Michael Norman Microcontroller Division 1 Configuration Modes The Freescale

More information

Freescale Semiconductor, I

Freescale Semiconductor, I nc. Engineering Bulletin Rev. 1, 3/2002 TOF Considerations when Measuring a Long Input Capture Event By Donnie Garcia and Gianni Filippi Microcontroller Applications Engineering Austin, Texas Introduction

More information

M68HC12. Microcontrollers MC68HC912DG128. Technical Data. Freescale Semiconductor, I MOTOROLA.COM/SEMICONDUCTORS

M68HC12. Microcontrollers MC68HC912DG128. Technical Data. Freescale Semiconductor, I MOTOROLA.COM/SEMICONDUCTORS nc. M68HC12 Microcontrollers MC68HC912DG128 Technical Data MC68HC912DG128/D Rev. 3, 10/2002 MOTOROLA.COM/SEMICONDUCTORS nc. nc. MC68HC912DG128 Technical Data Rev 3.0 Motorola reserves the right to make

More information

Freescale Semiconductor, I. How to Write to the 64-Cycle Time-Protected Registers on M68HC11 Development Tools

Freescale Semiconductor, I. How to Write to the 64-Cycle Time-Protected Registers on M68HC11 Development Tools nc. Order this document by /D Motorola Semiconductor How to Write to the 64-Cycle Time-Protected Registers on M68HC11 Development Tools By Brian Scott Crow Austin, Texas Introduction The MC68HC11 Family

More information

EB180. Motorola Semiconductor Engineering Bulletin. Differences between the MC68HC705B16 and the MC68HC705B16N. Freescale Semiconductor, I

EB180. Motorola Semiconductor Engineering Bulletin. Differences between the MC68HC705B16 and the MC68HC705B16N. Freescale Semiconductor, I nc. Order this document by /D Motorola Semiconductor Differences between the MC68HC705B16 and the MC68HC705B16N By Joanne Field CSIC Applications Motorola Ltd. East Kilbride, Scotland Introduction Motorola

More information

Freescale Semiconductor, I

Freescale Semiconductor, I nc. Order this document by /D Motorola Semiconductor How to Program Chip Selects on Modular Microcontrollers with a System Integration Module or a Single-Chip Integration Module By Sharon Darley Austin,

More information

Engineering Bulletin. Introduction and Background. Definition of Early TOF EB389/D 11/2001

Engineering Bulletin. Introduction and Background. Definition of Early TOF EB389/D 11/2001 Engineering Bulletin EB389/D 11/2001 TOF Considerations when Measuring a Long Input Capture Event By Donnie Garcia and Gianni Filippi Microcontroller Applications Engineering Austin, Texas Introduction

More information

NUP2114 Series, SNUP2114UCMR6T1G Transient Voltage Suppressors Low Capacitance ESD Protection for High Speed Data

NUP2114 Series, SNUP2114UCMR6T1G Transient Voltage Suppressors Low Capacitance ESD Protection for High Speed Data NUP2114 Series, SNUP2114UCMR6T1G Transient Voltage Suppressors Low Capacitance ESD Protection for High Speed Data The NUP2114 transient voltage suppressor is designed to protect high speed data lines from

More information

Freescale Semiconductor, I

Freescale Semiconductor, I nc. Using the Bluetooth Audio Signal Processor (BTASP) for High-Quality Audio Performance Application Note AN2210/D Rev. 0, 12/2001 nc. Motorola reserves the right to make changes without further notice

More information

NB2304A. 3.3V Zero Delay Clock Buffer

NB2304A. 3.3V Zero Delay Clock Buffer 3.3V Zero Delay Clock Buffer The NB304A is a versatile, 3.3 V zero delay buffer designed to distribute high speed clocks in PC, workstation, datacom, telecom and other high performance applications. It

More information

Functional Differences Between the DSP56307 and DSP56L307

Functional Differences Between the DSP56307 and DSP56L307 Freescale Semiconductor Engineering Bulletin EB361 Rev. 3, 10/2005 Functional Differences Between the DSP56307 and DSP56L307 The DSP56307 and DSP56L307, two members of the Freescale DSP56300 family of

More information

MC68VZ328. MC68VZ328 (DragonBall VZ) Integrated Portable System Processor Product Brief

MC68VZ328. MC68VZ328 (DragonBall VZ) Integrated Portable System Processor Product Brief MC68VZ328P/D Rev. 1, 10/2001 MC68VZ328 MC68VZ328 (DragonBall VZ) Integrated Portable System Processor Product Brief The MC68VZ328 (DragonBall VZ) microprocessor, the third generation of the DragonBall

More information

Interfacing MPC5xx Microcontrollers to the MFR4310 FlexRay Controller David Paterson MCD Applications, East Kilbride

Interfacing MPC5xx Microcontrollers to the MFR4310 FlexRay Controller David Paterson MCD Applications, East Kilbride Freescale Semiconductor Application Note Document Number: AN3256 Rev. 2, 2/2008 Interfacing MPC5xx Microcontrollers to the MFR4310 FlexRay Controller by: David Paterson MCD Applications, East Kilbride

More information

NB2308A. 3.3 V Zero Delay Clock Buffer

NB2308A. 3.3 V Zero Delay Clock Buffer 3.3 V Zero Delay Clock Buffer The NB2308A is a versatile, 3.3 V zero delay buffer designed to distribute high speed clocks. It is available in a 16 pin package. The part has an on chip PLL which locks

More information

Functional Differences Between DSP56302 and DSP56309 (formerly DSP56302A)

Functional Differences Between DSP56302 and DSP56309 (formerly DSP56302A) Freescale Semiconductor Engineering Bulletin EB346 Rev. 3, 10/2005 Functional Differences Between DSP56302 and DSP56309 (formerly DSP56302A) To meet the increasing demands for higher performance and lower

More information

Freescale Semiconductor, I. Example Using the Queued Serial Peripheral Interface on Modular MCUs

Freescale Semiconductor, I. Example Using the Queued Serial Peripheral Interface on Modular MCUs nc. Order this document by /D Motorola Semiconductor Example Using the Queued Serial Peripheral Interface on Modular MCUs By Sharon Darley Austin, Texas Introduction The QSPI (queued serial peripheral

More information

NB2308A. 3.3 V Zero Delay Clock Buffer

NB2308A. 3.3 V Zero Delay Clock Buffer 3.3 V Zero Delay Clock Buffer The NB2308A is a versatile, 3.3 V zero delay buffer designed to distribute high-speed clocks. It is available in a 6 pin package. The part has an on-chip PLL which locks to

More information

Suite56 Command Converter Server User s Guide

Suite56 Command Converter Server User s Guide nc. Suite56 Command Converter Server User s Guide S56CCSERVER/D Rev. 1.0, 10/1999 nc. Suite56 and MFAX are a trademarks of Motorola, Inc. This document contains information on a new product. Specifications

More information

Comparison of MC9S08QE128 and MCF51QE128 Microcontrollers Scott Pape and Eduardo Montanez Systems Engineering, Freescale Microcontroller Division

Comparison of MC9S08QE128 and MCF51QE128 Microcontrollers Scott Pape and Eduardo Montanez Systems Engineering, Freescale Microcontroller Division White Paper Document Number: QE128COMPWP Rev. 0, 05/2007 Comparison of MC9S08QE128 and MCF51QE128 Microcontrollers by: Scott Pape and Eduardo Montanez Systems Engineering, Freescale Microcontroller Division

More information

Also covers MC9S12XHZ384 and MC9S12XHZ bit S12X Microcontroller with LCD and Stepper Motor Drivers

Also covers MC9S12XHZ384 and MC9S12XHZ bit S12X Microcontroller with LCD and Stepper Motor Drivers Freescale Semiconductor Product Brief S12XHZ512PB Rev. 4.1, 4-Jan-2007 MC9S12XHZ512 Also covers MC9S12XHZ384 and MC9S12XHZ256 16-bit S12X Microcontroller with LCD and Stepper Motor Drivers Introduction

More information

PAS08QF80 User s Manual

PAS08QF80 User s Manual PAS08QF80 User s Manual HC(S)08 Programming Adapter PAS08QF80UM Revision 0., June 00 User s Manual PAS08QF80 HC(S)08 Programming Adapter PAS08QF80 Quick Start Guide The PAS08QF80 is a low-cost universal

More information

PQ-MDS-PIB. HW Getting Started Guide 12,13. January 2006: Rev Check kit contents

PQ-MDS-PIB. HW Getting Started Guide 12,13. January 2006: Rev Check kit contents HW Getting Started Guide PQ-MDS-PIB January 2006: Rev. 0.4 Step 1: Check kit contents 1. PQ- MDS- PIB (Platform I/O Board, or PIB ) 2. Power cable extension with on-off switch 3. 25 Pin IEEE 1284 Parallel

More information

XGATE Library: Using the Freescale XGATE Software Library Steve McAslan MCD Applications, East Kilbride

XGATE Library: Using the Freescale XGATE Software Library Steve McAslan MCD Applications, East Kilbride Freescale Semiconductor Application Note AN3145 Rev. 0, 2/2006 XGATE Library: Using the Freescale XGATE Software Library by: Steve McAslan MCD Applications, East Kilbride 1 Introduction The Freescale S12X

More information

PAS08QF5264 User s Manual

PAS08QF5264 User s Manual PAS08QF6 User s Manual HC(S)08 Programming Adapter PAS08QF6UM Revision 0., June 00 User s Manual PAS08QF6 HC(S)08 Programming Adapter PAS08QF6 Quick Start Guide The PAS08QF6 is a low-cost universal programming

More information

VREG_3V3 Block User Guide V03.03

VREG_3V3 Block User Guide V03.03 DOCUMENT NUMBER S12VREG3V3V3/D VREG_3V3 Block User Guide V03.03 Original Release Date: 12 Jul 2001 Revised: 12 Jan 2004 Semiconductor Products Sector Motorola, Inc Motorola reserves the right to make changes

More information

VREG_3V3 Block User Guide V02.03

VREG_3V3 Block User Guide V02.03 DOCUMENT NUMBER S12VREG3V3V2/D VREG_3V3 Block User Guide V02.03 Original Release Date: 12 Jul 2001 Revised: 22 Jun 2004 Semiconductor Products Sector Motorola, Inc Motorola reserves the right to make changes

More information

MPC8349E-mITX-GP Board Errata

MPC8349E-mITX-GP Board Errata Freescale Semiconductor Document Number: MPC8349EMITX-GPBE Rev. 2, 01/2007 MPC8349E-mITX-GP Board Errata This document describes the known errata and limitations of the MPC8349E-mITX-GP reference platform.

More information

PCB Layout Guidelines for the MC1321x

PCB Layout Guidelines for the MC1321x Freescale Semiconductor Application Note Document Number: AN3149 Rev. 0.0, 03/2006 PCB Layout Guidelines for the MC1321x 1 Introduction This application note describes Printed Circuit Board (PCB) footprint

More information

VREG_3V3 Block User Guide V05.03

VREG_3V3 Block User Guide V05.03 nc. DOCUMENT NUMBER S12VREG3V3V5/D VREG_3V3 Block User Guide V05.03 Original Release Date: 12 Jul 2001 Revised: 09 Sep 2004 Semiconductor Products Sector Motorola, Inc Motorola reserves the right to make

More information

Upgrade the Solution With No Changes 2 Upgrade the Solution With No Changes If a Codebase does not contain updates to its properties, it is possible t

Upgrade the Solution With No Changes 2 Upgrade the Solution With No Changes If a Codebase does not contain updates to its properties, it is possible t Freescale Semiconductor Application Note Document Number: AN3819 Rev. 0.0, 02/2009 Methods for Upgrading Freescale BeeStack Codebases 1 Introduction This note describes how to upgrade an existing Freescale

More information

DSP5630x FSRAM Module Interfacing

DSP5630x FSRAM Module Interfacing SEMICONDUCTOR TECHNICAL DATA DSP5630x FSRAM Module Interfacing Prepared by: Ian Forsyth FSRAM Applications Motorola Ltd. East Kilbride, Scotland Order this document by /D INTRODUCTION Due to ever increasing

More information

HC912D60A / HC912Dx128A 0.5µ Microcontrollers Mask sets 2K38K, 1L02H/2L02H/3L02H & K91D, 0L05H/1L05H/2L05H

HC912D60A / HC912Dx128A 0.5µ Microcontrollers Mask sets 2K38K, 1L02H/2L02H/3L02H & K91D, 0L05H/1L05H/2L05H Freescale Semiconductor Engineering Bulletin EB664 Rev. 6, 08/2006 HC912D60A / HC912Dx128A 0.5µ Microcontrollers Mask sets 2K38K, 1L02H/2L02H/3L02H & K91D, 0L05H/1L05H/2L05H by: Devaganesan Rajoo HC12

More information

Using IIC to Read ADC Values on MC9S08QG8

Using IIC to Read ADC Values on MC9S08QG8 Freescale Semiconductor Application Note AN3048 Rev. 1.00, 11/2005 Using IIC to Read ADC Values on MC9S08QG8 by Donnie Garcia Application Engineering Microcontroller Division 1 Introduction The MC9S08QG8

More information

MC68VZ328 Integrated Processor

MC68VZ328 Integrated Processor .. MC68VZ328 Integrated Processor User s Manual MC68VZ328UM/D Rev. 0, 02/2000 .. MFAX and DragonBall are trademarks of Motorola, Inc. This document contains information on a new product. Specifications

More information

Freescale Semiconductor, I. How to Use the Table Lookup and Interpolate Instruction on the CPU32

Freescale Semiconductor, I. How to Use the Table Lookup and Interpolate Instruction on the CPU32 nc. Order this document by /D Motorola Semiconductor How to Use the Table Lookup and Interpolate Instruction on the CPU32 By Sharon Darley Austin, Texas Introduction The table lookup and interpolate instruction

More information

MM3ZxxxT1G Series, SZMM3ZxxxT1G Series. Zener Voltage Regulators. 300 mw SOD 323 Surface Mount

MM3ZxxxT1G Series, SZMM3ZxxxT1G Series. Zener Voltage Regulators. 300 mw SOD 323 Surface Mount MM3ZxxxT1G Series, SZMM3ZxxxT1G Series Zener Voltage Regulators 3 mw Surface Mount This series of Zener diodes is packaged in a surface mount package that has a power dissipation of 3 mw. They are designed

More information

MC56F825x/MC56F824x (2M53V) Chip Errata

MC56F825x/MC56F824x (2M53V) Chip Errata Freescale Semiconductor MC56F825XE_2M53V Chip Errata Rev. 1, 05/2012 MC56F825x/MC56F824x (2M53V) Chip Errata The following errata items apply to devices of the maskset 2M53V. 2012 Freescale Semiconductor,

More information

MCF5216 Device Errata

MCF5216 Device Errata Freescale Semiconductor Device Errata MCF5216DE Rev. 1.7, 09/2004 MCF5216 Device Errata This document identifies implementation differences between the MCF5216 processor and the description contained in

More information

NB4N855SMEVB. Evaluation Board User's Manual for NB4N855S EVAL BOARD USER S MANUAL.

NB4N855SMEVB. Evaluation Board User's Manual for NB4N855S EVAL BOARD USER S MANUAL. Evaluation Board User's Manual for NB4N855S EVAL BOARD USER S MANUAL Description ON Semiconductor has developed an evaluation board for the NB4N855S device as a convenience for the customers interested

More information

Differences Between the DSP56301, DSP56311, and DSP56321

Differences Between the DSP56301, DSP56311, and DSP56321 Freescale Semiconductor Engineering Bulletin Document Number: EB724 Rev. 0, 11/2009 Differences Between the DSP56301, DSP56311, and DSP56321 This engineering bulletin discusses the differences between

More information

56F805. BLDC Motor Control Application with Quadrature Encoder using Processor Expert TM Targeting Document. 56F bit Digital Signal Controllers

56F805. BLDC Motor Control Application with Quadrature Encoder using Processor Expert TM Targeting Document. 56F bit Digital Signal Controllers 56F805 BLDC Motor Control Application with Quadrature Encoder using Processor Expert TM Targeting Document 56F800 6-bit Digital Signal Controllers 805BLDCQETD Rev. 08/2005 freescale.com BLDC Motor Control

More information

CGM: CRYSTAL OPERATION

CGM: CRYSTAL OPERATION MOTOROLA SEMICONDUCTOR TECHNICAL INFORMATION 68HC912D60MSE5 Rev 2 March 1, 2001 Mask Set Errata 5 M68HC912D60 Microcontroller Unit INTRODUCTION This mask-set errata provides information pertaining to the

More information

56F805. Digital Power Factor Correction using Processor Expert TM Targeting Document. 56F bit Digital Signal Controllers. freescale.

56F805. Digital Power Factor Correction using Processor Expert TM Targeting Document. 56F bit Digital Signal Controllers. freescale. 56F805 Digital Power Factor Correction using Processor Expert TM Targeting Document 56F800 6-bit Digital Signal Controllers 805DPFCTD Rev. 0 08/2005 freescale.com Digital Power Factor Correction This

More information

Getting Started with the Microcontroller Student Learning Kit (MCUSLK) Using the MC9S12C32 MCU Application Module (CSM-12C32)

Getting Started with the Microcontroller Student Learning Kit (MCUSLK) Using the MC9S12C32 MCU Application Module (CSM-12C32) Freescale Semiconductor User Guide MCUSLK_CSM12C32 Rev. 0, 6/2005 Getting Started with the Microcontroller Student Learning Kit (MCUSLK) Using the MC9S12C32 MCU Application Module (CSM-12C32) By Eduardo

More information

56F8300 BLDC Motor Control Application

56F8300 BLDC Motor Control Application 56F8300 BLDC Motor Control Application with Quadrature Encoder using Processor Expert TM Targeting Document 56F8300 16-bit Digital Signal Controllers 8300BLDCQETD Rev. 2 08/2005 freescale.com Document

More information

Integrated Silicon Pressure Sensor for Manifold Absolute Pressure Applications On-Chip Signal Conditioned, Temperature Compensated and Calibrated

Integrated Silicon Pressure Sensor for Manifold Absolute Pressure Applications On-Chip Signal Conditioned, Temperature Compensated and Calibrated Freescale Semiconductor Technical Data Rev 1, 05/2005 Integrated Silicon Pressure Sensor for Manifold Absolute Pressure Applications On-Chip Signal Conditioned, Temperature Compensated and Calibrated The

More information

PACSZ1284. IEEE 1284 Parallel Port ESD/EMI/Termination Network

PACSZ1284. IEEE 1284 Parallel Port ESD/EMI/Termination Network IEEE 1284 Parallel Port ESD/EMI/Termination Network Product Description The PACSZ1284 combines EMI filtering, ESD protection, and signal termination in a single QSOP package for parallel port interfaces

More information

Clock Mode Selection for MSC8122 Mask Set K98M

Clock Mode Selection for MSC8122 Mask Set K98M Freescale Semiconductor Application Note AN2904 Rev. 0, 11/2004 Clock Mode Selection for MSC8122 Mask Set K98M By Donald Simon and Wes Ray This application note describes the MSC8122 clock modes for mask

More information

Integrated Silicon Pressure Sensor On-Chip Signal Conditioned, Temperature Compensated and Calibrated

Integrated Silicon Pressure Sensor On-Chip Signal Conditioned, Temperature Compensated and Calibrated Freescale Semiconductor Technical Data Integrated Silicon Pressure Sensor On-Chip Signal Conditioned, Temperature Compensated and Calibrated The series piezoresistive transducers are state-of-the-art monolithic

More information

Integrated Silicon Pressure Sensor On-Chip Signal Conditioned, Temperature Compensated and Calibrated

Integrated Silicon Pressure Sensor On-Chip Signal Conditioned, Temperature Compensated and Calibrated Freescale Semiconductor Technical Data Rev 5, 05/2005 Integrated Silicon Pressure Sensor On-Chip Signal Conditioned, Temperature Compensated and Calibrated The piezoresistive transducer is a state-of-the-art

More information

NUP4114UCW1T2G. Transient Voltage Suppressors. ESD Protection Diodes with Low Clamping Voltage

NUP4114UCW1T2G. Transient Voltage Suppressors. ESD Protection Diodes with Low Clamping Voltage Transient Voltage Suppressors ESD Protection Diodes with Low Clamping Voltage The NUP4114UCW1 transient voltage suppressor is designed to protect high speed data lines from ESD. Ultra low capacitance and

More information

Mechanical Differences Between the 196-pin MAP-BGA and 196-pin PBGA Packages

Mechanical Differences Between the 196-pin MAP-BGA and 196-pin PBGA Packages Freescale Semiconductor Engineering Bulletin EB360 Rev. 1, 10/2005 Mechanical Differences Between the 196-pin MAP-BGA and 196-pin PBGA Packages This document describes the differences between the 196-pin

More information

Affected Chips Description Impact and Workaround

Affected Chips Description Impact and Workaround Freescale Semiconductor MC56F8013E Rev. 3, 08/2007 56F8013 Preliminary Chip 56F8013 Digital Signal Controller numbers are in the form n.m, where n is the number of the errata item and m identifies the

More information

Using the PowerQUICC II Auto-Load Feature

Using the PowerQUICC II Auto-Load Feature Freescale Semiconductor Application Note Document Number: AN3352 Rev. 0, 01/2007 Using the PowerQUICC II Auto-Load Feature by David Smith/Patrick Billings Field Application Engineering/DSD Applications

More information

MPC8349EA MDS Processor Board

MPC8349EA MDS Processor Board HW Getting Started Guide MPC8349EA MDS Processor Board Nov 2006: Rev. A Contents Contents.................................................................................. 1 About This Document.......................................................................

More information

MC9S08DZ60 Migrating from the 3M05C to M74K Mask Set D. Scott Brown Applications Engineering Microcontrollers Solutions Group Austin, TX, USA

MC9S08DZ60 Migrating from the 3M05C to M74K Mask Set D. Scott Brown Applications Engineering Microcontrollers Solutions Group Austin, TX, USA Freescale Semiconductor Application Note Document Number: AN3776 Rev. 0, 09/2008 MC9S08DZ60 Migrating from the 3M05C to M74K Mask Set by: D. Scott Brown Applications Engineering Microcontrollers Solutions

More information

NUP8011MUTAG. Transient Voltage Suppressors

NUP8011MUTAG. Transient Voltage Suppressors NUP0MU Transient Voltage Suppressors ESD Protection Diodes with Low Clamping Voltage Array This integrated transient voltage suppressor device (TVS) is designed for applications requiring transient overvoltage

More information

S12XS MCU and Multifunctional Ignition and Injector Driver System In Package (SiP)

S12XS MCU and Multifunctional Ignition and Injector Driver System In Package (SiP) Freescale Semiconductor Advance Information S12XS MCU and Multifunctional Ignition and Injector Driver System In Package (SiP) The MM is an engine control SMARTMOS IC combining an MCU (S12XS) and analog

More information

Integrated Silicon Pressure Sensor On-Chip Signal Conditioned, Temperature Compensated and Calibrated

Integrated Silicon Pressure Sensor On-Chip Signal Conditioned, Temperature Compensated and Calibrated Freescale Semiconductor Technical Data Integrated Silicon Pressure Sensor On-Chip Signal Conditioned, Temperature Compensated and Calibrated The series piezoresistive transducer is a state-of-the-art monolithic

More information

Using the Multi-Axis g-select Evaluation Boards

Using the Multi-Axis g-select Evaluation Boards Freescale Semiconductor Application Note Rev 2, 10/2006 Using the Multi-Axis g-select Evaluation Boards by: Michelle Clifford and John Young Applications Engineers Tempe, AZ INTRODUCTION This application

More information

Designing Hardware for the HCS12 D-Family

Designing Hardware for the HCS12 D-Family Freescale Semiconductor Application Note AN2727 Rev. 0, 12/2004 Designing Hardware for the HCS12 D-Family by: Martyn Gallop Applications Engineering Freescale Semiconductor, East Kilbride Introduction

More information

CM DE. 4-Channel LCD and Camera EMI Filter Array with ESD Protection

CM DE. 4-Channel LCD and Camera EMI Filter Array with ESD Protection CM1408-04DE 4-Channel LCD and Camera EMI Filter Array with ESD Protection Features Four Channels of EMI Filtering with Integrated ESD Protection Pi Style EMI Filters in a Capacitor Resistor Capacitor (C

More information

DatasheetDirect.com. Visit to get your free datasheets. This datasheet has been downloaded by

DatasheetDirect.com. Visit  to get your free datasheets. This datasheet has been downloaded by DatasheetDirect.com Your dedicated source for free downloadable datasheets. Over one million datasheets Optimized search function Rapid quote option Free unlimited downloads Visit www.datasheetdirect.com

More information

USB Bootloader GUI User s Guide

USB Bootloader GUI User s Guide Freescale Semiconductor User s Guide Document Number: MC9S08JS16UG Rev. 0, 10/2008 USB Bootloader GUI User s Guide by: Derek Liu Applications Engineering China 1 Overview The MC9S08JS16 (JS16) supports

More information

EB301. Motorola Semiconductor Engineering Bulletin. Programming EEPROM on the MC68HC811E2 during Program Execution. Freescale Semiconductor, I

EB301. Motorola Semiconductor Engineering Bulletin. Programming EEPROM on the MC68HC811E2 during Program Execution. Freescale Semiconductor, I Order this document by /D Motorola Semiconductor Programming EEPROM on the MC68HC811E2 during Program Execution By Brian Scott Crow Austin, Texas Introduction The Problem The MC68HC811E2 microcontroller

More information

For an electronic copy of this book, visit Motorola s web site at Motorola, Inc., 2004; All Rights Reserved

For an electronic copy of this book, visit Motorola s web site at   Motorola, Inc., 2004; All Rights Reserved EML08AP64UM/D Version 1.1 June 25, 2004 M68EML08AP64 Emulation Module Motorola, Inc., 2003 Important Notice to Users While every effort has been made to ensure the accuracy of all information in this document,

More information

MPC8360E MDS Processor Board

MPC8360E MDS Processor Board HW Getting Started Guide MPC8360E MDS Processor Board August 2006: Rev. A Step 1: Check HW kit contents MPC8360E MDS Processor Board HW Getting Started Guide 1. MPC8360E MDS processor board 2. AC/DC 5V/5A

More information

MG2040. Transient Voltage Suppressors. Low Capacitance ESD Protection for High Speed Video Interface

MG2040. Transient Voltage Suppressors. Low Capacitance ESD Protection for High Speed Video Interface Transient Voltage Suppressors Low Capacitance ESD Protection for High Speed Video Interface The MG24 transient voltage suppressor is designed specifically to protect HDMI and Display Port with full functionality

More information

MC33794 Touch Panel System Using E-Field Sensor Setup Instructions

MC33794 Touch Panel System Using E-Field Sensor Setup Instructions Freescale Semiconductor MC33794SIUG User s Guide Rev. 1.0, 09/2005 MC33794 Touch Panel System Using E-Field Sensor Setup Instructions Reference Design Documentation for RDMC33794 This document contains

More information

DSP56F827 Digital Signal Controller

DSP56F827 Digital Signal Controller Freescale Semiconductor DSP56F827E Rev. 8.0, 12/2005 56F827 Chip Errata DSP56F827 Digital Signal Controller This document reports errata information on chip revision B. Errata numbers are in the form n.m,

More information

Features Overview nc. 1.1 Features Overview The MMC2114 and MMC2113 are the next devices in a family of devices that began with the MMC2107. The devic

Features Overview nc. 1.1 Features Overview The MMC2114 and MMC2113 are the next devices in a family of devices that began with the MMC2107. The devic nc. Product Brief MMC2114PB/D Rev. 0, 6/2002 MMC2114 M CORE Microcontroller Product Brief (also addresses MMC2113) This document is an overview of the 32-bit MMC2114 and MMC2113 M CORE microcontrollers,

More information

NP0080TA Series. Low Capacitance Protector

NP0080TA Series. Low Capacitance Protector Low Capacitance Protector The NP series of low voltage/low capacitance overvoltage protection devices protect high speed xdsl line drivers and chipsets from both Lightning Surge and ESD events. The devices

More information

CM1409. LCD and Camera EMI Filter Array with ESD Protection

CM1409. LCD and Camera EMI Filter Array with ESD Protection LCD and Camera EMI Filter Array with ESD Protection Product Description The CM1409 is a family of pi style EMI filter arrays with ESD protection, which integrates either six or eight filters (C R C) in

More information

M68EM05X4 EMULATOR MODULE USER'S MANUAL

M68EM05X4 EMULATOR MODULE USER'S MANUAL M68EM05X4/D Rev. 2 January 1996 M68EM05X4 EMULATOR MODULE USER'S MANUAL Third Edition MOTOROLA Ltd., 1993, 1995, 1996; All Rights Reserved Motorola reserves the right to make changes without further notice

More information

Using the Project Board LCD Display at 3.3 volts

Using the Project Board LCD Display at 3.3 volts Freescale Semiconductor SLK0100AN Application Note Rev. 0, 1/2007 By: John McLellan Applications Engineering Austin, TX 1 Introduction This document guides you through the steps necessary to use the LCD

More information

AN-HK-33. Motorola Semiconductor Application Note. In-Circuit Programming of FLASH Memory in the MC68HC908JL3. PART 1 Introduction

AN-HK-33. Motorola Semiconductor Application Note. In-Circuit Programming of FLASH Memory in the MC68HC908JL3. PART 1 Introduction Motorola Semiconductor Application Note Order this document by AN-HK-33/H Rev. 1 AN-HK-33 In-Circuit Programming of FLASH Memory in the MC68HC908JL3 By Roger Fan Applications Engineering Microcontroller

More information