在数据中心中加速 AI - Xilinx 机器学习套件 (Xilinx ML Suite )

Size: px
Start display at page:

Download "在数据中心中加速 AI - Xilinx 机器学习套件 (Xilinx ML Suite )"

Transcription

1 赛灵思高级主任 DSP/ 机器学习专家赛灵思高级主任 DSP/ 机器学习专家 赛灵思技术日 XILINX TECHNOLOGY DAY 在数据中心中加速 AI - Xilinx 机器学习套件 (Xilinx ML Suite ) 王宏强赛灵思资深主任 DSP/ 机器学习专家 2019 年 3 月 19 日

2 机器学习推断是赛灵思的长项 TRAINING Input cat =? labels dog Training: Process for machine to learn and optimize model from data Many Error INFERENCE Input dog Focus Inference: Using trained models to predict/estimate outcomes from new observations in efficient deployments Fewer

3 从云到端加速 AI 应用 Featuring the Most Powerful FPGA in the Cloud Deep Learning Applications Virtex Ultrascale+ VU9P Zynq Ultrascale+ MPSoC Cloud On Premises Edge

4 深度学习模型 Multi-Layer Perceptron Classification Universal Function Approximator Autoencoder Convolutional Neural Network Feature Extraction Object Detection Image Segmentation Recurrent Neural Network Sequence and Temporal Data Speech to Text Language Translation Classification Object Detection Segmentation Dog

5 使用开源软件进行无缝部署 Deploy xfdnn Middleware, Tools and Runtime From Xilinx From Community xdnn CNN Processing Engine *TensorFlow Q4 2017

6 Xilinx ML Suite Supported Frameworks: Caffe / MxNet / Tensorflow / Darknet Python Support Jupyter Notebooks available: Image Classification with Caffe Using the xfdnn Compiler w/ a Caffe Model Using the xfdnn Quantizer w/ a Caffe Model Pre-trained Models Caffe 8/16-bit GoogLeNet v1 / ResNet50 / Flowers102 / Places365 Python 8/16-bit Yolov2 MxNet 8/16-bit GoogLeNet v1 xfdnn Tools Compiler Quantizer

7 xfdnn 推断工具箱 (Toolbox) Graph Compiler Network Optimization xfdnn Quantizer Python tools to quickly compile networks from common Frameworks Caffe, MxNet and Tensorflow Automatic network optimizations for lower latency by fusing layers and buffering on-chip memory Quickly reduce precision of trained models for deployment Maintains 32bit accuracy at 8 bit within 2%

8 基于 xdnn 处理引擎的 ML Suite 套件 Adaptable AI algorithms are changing rapidly Adjacent acceleration opportunities Realtime 10x Low latency than CPU and GPU Data flow processing xdnn PE xdnn PE xdnn PE xdnn PE FPGA Platform DDR Efficient Performance/watt CPU Low Power

9 Overlay Architecture 基于赛灵思 FPGA 灵活多变特性的定制化处理器 Customized overlays with ISA architecture for optimized implementation Easy plug and play with Software Stack MLP Engine Scalable sparse and dense implementation xdnn CNN Engine for Large 16 nm Xilinx Devices Deephi DPU Flexible CNN Engine with Embedded Focus CHaiDNN HLS based open source offering Deephi ESE LSTM Speech to Text engine Random Forest Configurable RF classification

10 快速提升功能和性能 xdnn-v1 Q4CY17 Array of Accumulator Int16 (Batch=1) and Int8 (Batch=2) support Instructions: Convolution, ReLU, Pool, Elementwise Flexible kernel size(square) and strides 500 MHz xdnn-v2 Q2CY18 All xdnn-v1 Features DDR Caching: Larger Image size New Instructions: Depth-wise Convolution, De-convolution, Up-sampling Rectangular Kernels 500 MHz xdnn-v3 Q4CY18 New Systolic Array Implementation: 2.2x lower latency Instruction Level Parallelism non-blocking data movement Batch=1 for Int8 lower latency Feature compatible with xdnn-v MHz

11 XDNN v3 特性集 Features Description Kernel Sizes W: 1-15; H:1-15 Convolution / Deconvolution / Convolution Transpose Strides W: 1,2,4,8; H: 1,2,4,8 Padding Same, Valid Dilation Factor: 1,2,4 Activation ReLU/pReLU Bias Scaling Value Per Channel Scale & Shift Value Per Channel Supported Operations Max Pooling Kernel Sizes W: 1-15; H:1-15 Strides W: 1,2,4,8; H: 1,2,4,8 Padding Same, Valid Kernel Sizes W: 1-15; H:1-15 Avg Pooling Strides W: 1,2,4,8; H: 1,2,4,8 Padding Same, Valid Element-wise Add Memory Support Width & Height must match; Depth can mismatch. On-Chip Buffering, DDR Caching Expanded set of image sizes Square, Rectangular Upsampling Strides Factor: 2,4,8,16 Miscellaneous Precision Int16-bit or Int8-bit

12 Xilinx DNN (xdnn) 处理器 Image Queue Instruction Buffer Spill / Restore DMA Controller Execution Controller Weights DMA Controller Systolic Array Configurable Overlay Processor DNN Specific Instruction Set Convolution, Max Pool etc. Any Network, Any Image Size High Frequency & High Compute Efficiency Compile and run new networks Bias Bias Bias Bias Pooling/ EWA ReLU ReLU ReLU ReLU Pooling Pooling Pooling Pooling Cross Bar

13 xfdnn 流程 CNTK Caffe2 PyTorch Tensorflow MxNet Caffe F R O N T E N D Framework Tensor Graph to Xilinx Tensor Graph xfdnn Tensor Graph Optimization ONNX xfdnn Compiler xfdnn Compression Model Weights Calibration Set Image xfdnn Runtime (python API) CPU Layers FPGA Layers

14 xdnn v3 在 Alveo U200 上的实现 3 Large 96x16 PEs 1 in each SLR 5.2 ML Shell 720 MHz/360MHz Resource Count Utilization LUTs 658k 52% DSPs % BRAM % URAM %

15 xdnn v3 在 Alveo U250 上的实现 4 Large 96x16 PEs 1 in each SLR standard 5.2 Shell Kernels at 700 MHz/350 MHz Resource Count Utilization LUTs 876k 51% DSPs % BRAM % URAM %

16 灵活多变 : 多网络配置 Application: Image Classification Application: Speech Application: Localization Application: Object Detection Model: Resnet50 Model: Googlenet v1 Model: FaceNet Model: Yolo v2 Framework: Caffe Framework: MxNet Framework: TesnorFlow Framework: Caffe xfdnn PCIe SDx Runtime Host 1 FPGA Provides 4 Virtual Accelerators For Real Time Deep Learning

17 灵活多变 : 部署您自己的 IP! Application: Localization Application: Object Detection Custom Application Model: FaceNet Framework: TensorFlow xfdnn Model: Yolo v2 Framework: Caffe PCIe xdnn PE Custom FPGA Platform Infrastru cture SDx Runtime Host Integrate Custom Applications Directly with xdnn Processing Engines

18 自定义的深度学习流程 xdnn Video Decode + Processing Video + ML Genomics + ML xdnn XDNN Risk Modelling + ML Database + ML xdnn Video Processing + Encode Network IPS + ML Storage + ML Integrate Custom Applications with xdnn. Lower end-to-end latency

19 xdnn GoogLeNet v1 性能 图像尺寸为 224x , ,124 3, Images/s , Latency (ms) Alveo U200 Latency Mode (INT8) Alveo U200 Throughput Mode (INT8) Alveo U250 Latency Mode (INT8) Alveo U250 Throughput Mode (INT8) 0

20 xdnn YOLO v2 性能 图像尺寸为 608x Images/s Latency(ms) Alveo U200 Latency Mode (INT8) Alveo U250 Latency Mode (INT8) 0

21 ML Suite: 赛灵思和深鉴技术的完美集成 Edge/Embedded Cloud/DC Models 20+ pruned / customized / basic models Deephi Pruning Coming to ML Suite at XDF Software Stack Deephi Quantizer Deephi Compiler SDSoC SDAccel xfdnn Quantizer xfdnn Compiler Deephi Runtime xfdnn Runtime FPGA IP Deephi DPU Deephi LSTM xdnn Platforms Z7020 Board Z7020 SOM ZU2/3 SOM ZU2/3 Card ZU9 Card ZCU102 ZCU104 Ultra96 Xilinx U200, U250, U280

22 Adaptable. Intelligent. 赛灵思技术日 XILINX TECHNOLOGY DAY

Xilinx ML Suite Overview

Xilinx ML Suite Overview Xilinx ML Suite Overview Yao Fu System Architect Data Center Acceleration Xilinx Accelerated Computing Workloads Machine Learning Inference Image classification and object detection Video Streaming Frame

More information

赛灵思技术日 XILINX TECHNOLOGY DAY 用赛灵思 FPGA 加速机器学习推断 张帆资深全球 AI 方案技术专家

赛灵思技术日 XILINX TECHNOLOGY DAY 用赛灵思 FPGA 加速机器学习推断 张帆资深全球 AI 方案技术专家 赛灵思技术日 XILINX TECHNOLOGY DAY 用赛灵思 FPGA 加速机器学习推断 张帆资深全球 AI 方案技术专家 2019.03.19 Who is Xilinx? Why Should I choose FPGA? Only HW/SW configurable device 1 2 for fast changing networks High performance / low

More information

Xilinx ML Suite Overview

Xilinx ML Suite Overview Xilinx ML Suite Overview Jim Heaton Sr. FAE Deep Learning explores the study of algorithms that can learn from and make predictions on data Deep Learning is Re-defining Many Applications Cloud Acceleration

More information

绝佳的并行处理 - FPGA 加速的根本基石

绝佳的并行处理 - FPGA 加速的根本基石 赛灵思技术日 XILINX TECHNOLOGY DAY 绝佳的并行处理 - 加速的根本基石 朱勇赛灵思大中华区业务拓展总监 2019 年 3 月 19 日 加速 : 大幅提升应用的性能 Without acceleration CPU func1 func2 func3 func4 With acceleration CPU func1 func3 func4 func2 handles compute-intensive,

More information

赛灵思技术日 XILINX TECHNOLOGY DAY. Alveo 数据中心加速卡快速入门. 谢旻 Xilinx 工具与方法高级应用工程师 2019 年 3 月 19 日

赛灵思技术日 XILINX TECHNOLOGY DAY. Alveo 数据中心加速卡快速入门. 谢旻 Xilinx 工具与方法高级应用工程师 2019 年 3 月 19 日 赛灵思技术日 XILINX TECHNOLOGY DAY Alveo 数据中心加速卡快速入门 谢旻 Xilinx 工具与方法高级应用工程师 2019 年 3 月 19 日 Agenda Alveo Acceleration Cards Available Documentations Card Bring-Up and Validation Working with github SDAccel Examples

More information

Xilinx DNN Processor An Inference Engine, Network Compiler + Runtime for Xilinx FPGAs

Xilinx DNN Processor An Inference Engine, Network Compiler + Runtime for Xilinx FPGAs ilinx DNN Proceor An Inference Engine, Network Compiler Runtime for ilinx FPGA Rahul Nimaiyar, Brian Sun, Victor Wu, Thoma Branca, Yi Wang, Jutin Oo, Elliott Delaye, Aaron Ng, Paolo D'Alberto, Sean Settle,

More information

FPGA 加速机器学习应用. 罗霖 2017 年 6 月 20 日

FPGA 加速机器学习应用. 罗霖 2017 年 6 月 20 日 FPGA 加速机器学习应用 罗霖 Andy.luo@Xilinx.com 2017 年 6 月 20 日 Xilinx The All Programmable Company XILINX - Founded 1984 Headquarters Research and Development Sales and Support Manufacturing $2.21B FY16 revenue

More information

Adaptable Intelligence The Next Computing Era

Adaptable Intelligence The Next Computing Era Adaptable Intelligence The Next Computing Era Hot Chips, August 21, 2018 Victor Peng, CEO, Xilinx Pervasive Intelligence from Cloud to Edge to Endpoints >> 1 Exponential Growth and Opportunities Data Explosion

More information

Silverlight 3 概览 俞晖市场推广经理微软 ( 中国 ) 有限公司

Silverlight 3 概览 俞晖市场推广经理微软 ( 中国 ) 有限公司 Silverlight 3 概览 俞晖市场推广经理微软 ( 中国 ) 有限公司 business opportunity 越来越丰富 MTV.COM (1996) CSS FLASH 4.0 AJAX HTML 3.2 DHTML SSL 3.0 FLASH 1.0 REAL AUDIO HTML 1.0 MTV.COM (2008) Silverlight 概览 跨浏览器 IE, Safari,

More information

Logitech G302 Daedalus Prime Setup Guide 设置指南

Logitech G302 Daedalus Prime Setup Guide 设置指南 Logitech G302 Daedalus Prime Setup Guide 设置指南 Logitech G302 Daedalus Prime Contents / 目录 English................. 3 简体中文................. 6 2 Logitech G302 Daedalus Prime 1 On 2 USB Your Daedalus Prime

More information

Bandwidth-Centric Deep Learning Processing through Software-Hardware Co-Design

Bandwidth-Centric Deep Learning Processing through Software-Hardware Co-Design Bandwidth-Centric Deep Learning Processing through Software-Hardware Co-Design Song Yao 姚颂 Founder & CEO DeePhi Tech 深鉴科技 song.yao@deephi.tech Outline - About DeePhi Tech - Background - Bandwidth Matters

More information

Inference

Inference Inference Architectures @Xilinx Graham Schelle, PhD Principal Engineer Xilinx Research Labs Xilinx Headlines!2 Twitch Chooses Xilinx to Enable its Broadcast-quality Livestream of esports Agenda Xilinx

More information

Build a Key Value Flash Disk Based Storage System. Flash Memory Summit 2017 Santa Clara, CA 1

Build a Key Value Flash Disk Based Storage System. Flash Memory Summit 2017 Santa Clara, CA 1 Build a Key Value Flash Disk Based Storage System Flash Memory Summit 2017 Santa Clara, CA 1 Outline Ø Introduction,What s Key Value Disk Ø A Evolution to Key Value Flash Disk Based Storage System Ø Three

More information

Recurrent Neural Networks. Deep neural networks have enabled major advances in machine learning and AI. Convolutional Neural Networks

Recurrent Neural Networks. Deep neural networks have enabled major advances in machine learning and AI. Convolutional Neural Networks Deep neural networks have enabled major advances in machine learning and AI Computer vision Language translation Speech recognition Question answering And more Problem: DNNs are challenging to serve and

More information

测试基础架构 演进之路. 茹炳晟 (Robin Ru) ebay 中国研发中心

测试基础架构 演进之路. 茹炳晟 (Robin Ru) ebay 中国研发中心 测试基础架构 演进之路 茹炳晟 (Robin Ru) ebay 中国研发中心 茹炳晟 (Robin Ru) 主要工作经历 : ebay 中国研发中心 -- 测试基础架构技术主管 Hewlett-Packard 惠普软件 ( 中国 ) 研发中心 -- 测试架构师 资深测试专家 Alcatel-Lucent 阿尔卡特朗讯 ( 上海 ) 研发中心 -- 测试技术主管 Cisco 思科 ( 中国 ) 研发中心

More information

Adaptable Computing The Future of FPGA Acceleration. Dan Gibbons, VP Software Development June 6, 2018

Adaptable Computing The Future of FPGA Acceleration. Dan Gibbons, VP Software Development June 6, 2018 Adaptable Computing The Future of FPGA Acceleration Dan Gibbons, VP Software Development June 6, 2018 Adaptable Accelerated Computing Page 2 Three Big Trends The Evolution of Computing Trend to Heterogeneous

More information

Nvidia GPU Support on Mesos: Bridging Mesos Containerizer and Docker Containerizer

Nvidia GPU Support on Mesos: Bridging Mesos Containerizer and Docker Containerizer Nvidia GPU Support on Mesos: Bridging Mesos Containerizer and Docker Containerizer MesosCon Asia - 2016 Yubo Li Research Stuff Member, IBM Research - China Email: liyubobj@cn.ibm.com 1 Yubo Li( 李玉博 ) Email:

More information

Microsoft RemoteFX: USB 和设备重定向 姓名 : 张天民 职务 : 高级讲师 公司 : 东方瑞通 ( 北京 ) 咨询服务有限公司

Microsoft RemoteFX: USB 和设备重定向 姓名 : 张天民 职务 : 高级讲师 公司 : 东方瑞通 ( 北京 ) 咨询服务有限公司 Microsoft RemoteFX: USB 和设备重定向 姓名 : 张天民 职务 : 高级讲师 公司 : 东方瑞通 ( 北京 ) 咨询服务有限公司 RemoteFX 中新的 USB 重定向特性 在 RDS 中所有设备重定向机制 VDI 部署场景讨论 : 瘦客户端和胖客户端 (Thin&Rich). 用户体验 : 演示使用新的 USB 重定向功能 81% 4 本地和远程的一致的体验 (Close

More information

梁永健. W K Leung. 华为企业业务 BG 解决方案销售部 CTO Chief Technology Officer, Solution Sales, Huawei

梁永健. W K Leung. 华为企业业务 BG 解决方案销售部 CTO Chief Technology Officer, Solution Sales, Huawei 梁永健 W K Leung 华为企业业务 BG 解决方案销售部 CTO Chief Technology Officer, Solution Sales, Huawei Network Threats ICT 移动化云计算社交化大数据 Mobile Cloud Social Big Data 网络威胁 APT Mobile threats Web threats Worms Trojans Botnet

More information

Versal: AI Engine & Programming Environment

Versal: AI Engine & Programming Environment Engineering Director, Xilinx Silicon Architecture Group Versal: Engine & Programming Environment Presented By Ambrose Finnerty Xilinx DSP Technical Marketing Manager October 16, 2018 MEMORY MEMORY MEMORY

More information

Xilinx Machine Learning Strategies For Edge

Xilinx Machine Learning Strategies For Edge Xilinx Machine Learning Strategies For Edge Presented By Alvin Clark, Sr. FAE, Northwest The Hottest Research: AI / Machine Learning Nick s ML Model Nick s ML Framework copyright sources: Gospel Coalition

More information

Scaling Convolutional Neural Networks on Reconfigurable Logic Michaela Blott, Principal Engineer, Xilinx Research

Scaling Convolutional Neural Networks on Reconfigurable Logic Michaela Blott, Principal Engineer, Xilinx Research Scaling Convolutional Neural Networks on Reconfigurable Logic Michaela Blott, Principal Engineer, Xilinx Research Nick Fraser (Xilinx & USydney) Yaman Umuroglu (Xilinx & NTNU) Giulio Gambardella (Xilinx)

More information

如何查看 Cache Engine 缓存中有哪些网站 /URL

如何查看 Cache Engine 缓存中有哪些网站 /URL 如何查看 Cache Engine 缓存中有哪些网站 /URL 目录 简介 硬件与软件版本 处理日志 验证配置 相关信息 简介 本文解释如何设置处理日志记录什么网站 /URL 在 Cache Engine 被缓存 硬件与软件版本 使用这些硬件和软件版本, 此配置开发并且测试了 : Hardware:Cisco 缓存引擎 500 系列和 73xx 软件 :Cisco Cache 软件版本 2.3.0

More information

#MDCC Swift 链式语法应 用 陈乘

#MDCC Swift 链式语法应 用 陈乘 #MDCC 2016 Swift 链式语法应 用 陈乘 方 @ENJOY 关于我 Swift 开发者 ENJOY ios 客户端负责 人 两年年 Swift 实际项 目开发经验 微博 ID: webfrogs Twitter: nswebfrog Writing code is always easy, the hard part is reading it. 链式语法? 链式语法 可以连续不不断地进

More information

China Next Generation Internet (CNGI) project and its impact. MA Yan Beijing University of Posts and Telecommunications 2009/08/06.

China Next Generation Internet (CNGI) project and its impact. MA Yan Beijing University of Posts and Telecommunications 2009/08/06. China Next Generation Internet (CNGI) project and its impact MA Yan Beijing University of Posts and Telecommunications 2009/08/06 Outline Next Generation Internet CNGI project in general CNGI-CERNET2 CERNET2

More information

1. DWR 1.1 DWR 基础 概念 使用使用 DWR 的步骤. 1 什么是 DWR? Direct Web Remote, 直接 Web 远程 是一个 Ajax 的框架

1. DWR 1.1 DWR 基础 概念 使用使用 DWR 的步骤. 1 什么是 DWR? Direct Web Remote, 直接 Web 远程 是一个 Ajax 的框架 1. DWR 1.1 DWR 基础 1.1.1 概念 1 什么是 DWR? Direct Web Remote, 直接 Web 远程 是一个 Ajax 的框架 2 作用 使用 DWR, 可以直接在 html 网页中调用 Java 对象的方法 ( 通过 JS 和 Ajax) 3 基本原理主要技术基础是 :AJAX+ 反射 1) JS 通过 AJAX 发出请求, 目标地址为 /dwr/*, 被 DWRServlet(

More information

Inference Optimization Using TensorRT with Use Cases. Jack Han / 한재근 Solutions Architect NVIDIA

Inference Optimization Using TensorRT with Use Cases. Jack Han / 한재근 Solutions Architect NVIDIA Inference Optimization Using TensorRT with Use Cases Jack Han / 한재근 Solutions Architect NVIDIA Search Image NLP Maps TensorRT 4 Adoption Use Cases Speech Video AI Inference is exploding 1 Billion Videos

More information

Revolutionizing the Datacenter

Revolutionizing the Datacenter Power-Efficient Machine Learning using FPGAs on POWER Systems Ralph Wittig, Distinguished Engineer Office of the CTO, Xilinx Revolutionizing the Datacenter Join the Conversation #OpenPOWERSummit Top-5

More information

智能终端与物联网应用 课程建设与实践. 邝坚 嵌入式系统与网络通信研究中心北京邮电大学计算机学院

智能终端与物联网应用 课程建设与实践. 邝坚 嵌入式系统与网络通信研究中心北京邮电大学计算机学院 智能终端与物联网应用 课程建设与实践 邝坚 jkuang@bupt.edu.cn 嵌入式系统与网络通信研究中心北京邮电大学计算机学院 定位 移动互联网 服务 安 理解 云计算 服务计算 可信 全 交换感知 嵌入式计算 计算 现状与趋势 p 移动互联网发展迅猛 第 27 次中国互联网络发展状况统计报告 (CNNIC) 指出截至 2010 年 12 月, 中国互联网用户数已达到 4.57 亿, 其中移动互联网网民数已达

More information

北 京 忆 恒 创 源 科 技 有 限 公 司 16

北 京 忆 恒 创 源 科 技 有 限 公 司 16 北京忆恒创源科技有限公司 16 Client Name Internal Project Name PPT Template Range For Internal only Project Leader Tang Zhibo Date 2013.4.26 Vision 0.1 北京忆恒创源科技有限公司,Memblaze 唐志波市场副总 / 联合创始人 曾在英特尔有限公司任职 11 年 任英特尔解决方案部高级技术顾问,

More information

Green Computing Cloud Computing LSD Tech Co., Ltd SSD server & SSD Storage Cloud SSD Supercomputer LSD Tech Co., LTD

Green Computing Cloud Computing LSD Tech Co., Ltd SSD server & SSD Storage Cloud SSD Supercomputer LSD Tech Co., LTD www.lsdtech.co.kr Green Computing Cloud Computing LSD Tech Co., Ltd SSD server & SSD Storage Cloud SSD Supercomputer LSD Tech Co., LTD 2012. 09. 28 1. 公司介绍 LSD Tech Co., Ltd LSD Tech( 株 ) 以制造 SSD 服务器,

More information

第二小题 : 逻辑隔离 (10 分 ) OpenFlow Switch1 (PC-A/Netfpga) OpenFlow Switch2 (PC-B/Netfpga) ServerB PC-2. Switching Hub

第二小题 : 逻辑隔离 (10 分 ) OpenFlow Switch1 (PC-A/Netfpga) OpenFlow Switch2 (PC-B/Netfpga) ServerB PC-2. Switching Hub 第二小题 : 逻辑隔离 (10 分 ) 一 实验背景云平台服务器上的不同虚拟服务器, 分属于不同的用户 用户远程登录自己的虚拟服务器之后, 安全上不允许直接访问同一局域网的其他虚拟服务器 二 实验目的搭建简单网络, 通过逻辑隔离的方法, 实现用户能远程登录局域网内自己的虚拟内服务器, 同时不允许直接访问同一局域网的其他虚拟服务器 三 实验环境搭建如图 1-1 所示, 我们会创建一个基于 OpenFlow

More information

Accelerating Binarized Convolutional Neural Networks with Software-Programmable FPGAs

Accelerating Binarized Convolutional Neural Networks with Software-Programmable FPGAs Accelerating Binarized Convolutional Neural Networks with Software-Programmable FPGAs Ritchie Zhao 1, Weinan Song 2, Wentao Zhang 2, Tianwei Xing 3, Jeng-Hau Lin 4, Mani Srivastava 3, Rajesh Gupta 4, Zhiru

More information

Scalable and Modularized RTL Compilation of Convolutional Neural Networks onto FPGA

Scalable and Modularized RTL Compilation of Convolutional Neural Networks onto FPGA Scalable and Modularized RTL Compilation of Convolutional Neural Networks onto FPGA Yufei Ma, Naveen Suda, Yu Cao, Jae-sun Seo, Sarma Vrudhula School of Electrical, Computer and Energy Engineering School

More information

新一代 ODA X5-2 低调 奢华 有内涵

新一代 ODA X5-2 低调 奢华 有内涵 新一代 ODA X5-2 低调 奢华 有内涵 李昊首席销售顾问甲骨文公司系统事业部 内容预览 1 2 3 4 ODA 概述 ODA X5-2 新功能 / 特性介绍 ODA X5-2 市场定位 & 竞争分析总结 & 讨论 内容预览 1 2 3 4 ODA 概述 ODA X5-2 新功能 / 特性介绍 ODA X5-2 市场定位 & 竞争分析总结 & 讨论 什么是 ODA ODA: 五年四代, 稳中求变

More information

EqualLogic Best Practices for SQL Server Deployments

EqualLogic Best Practices for SQL Server Deployments EqualLogic Best Practices for SQL Server Deployments 李光明 Goldman_Li@dell.com Storage Solution Specialist Dell Storage Forum: EqualLogic User Conference Xiamen, Jan 15-16, 2011 Notices & Disclaimers Copyright

More information

融入 Python 生态的 Zynq 软硬件设计框架

融入 Python 生态的 Zynq 软硬件设计框架 Python Productivity for Zynq 融入 Python 生态的 Zynq 软硬件设计框架 陆佳华 Xilinx 教育与创新生态高级经理 joshual@xilinx.com Python is increasingly the Language of Choice Top Programming Languages, IEEE Spectrum, July 18 July 17

More information

Triangle - Delaunay Triangulator

Triangle - Delaunay Triangulator Triangle - Delaunay Triangulator eryar@163.com Abstract. Triangle is a 2D quality mesh generator and Delaunay triangulator. Triangle was created as part of the Quake project in the school of Computer Science

More information

OTAD Application Note

OTAD Application Note OTAD Application Note Document Title: OTAD Application Note Version: 1.0 Date: 2011-08-30 Status: Document Control ID: Release _OTAD_Application_Note_CN_V1.0 Copyright Shanghai SIMCom Wireless Solutions

More information

Chapter 7: Deadlocks. Operating System Concepts 9 th Edition

Chapter 7: Deadlocks. Operating System Concepts 9 th Edition Chapter 7: Deadlocks Silberschatz, Galvin and Gagne 2013 Chapter Objectives To develop a description of deadlocks, which prevent sets of concurrent processes from completing their tasks To present a number

More information

libde265 HEVC 性能测试报告

libde265 HEVC 性能测试报告 libde265 HEVC www.libde265.org libde265 HEVC 高效率视频编码 (HEVC) 是新的视频压缩标准, 是 H.264/MPEG-4 AVC (Advanced Video Coding) 的后继者 HEVC 是由 ISO/IEC Moving Picture Experts Group (MPEG) 和 ITU-T Video Coding Experts Group

More information

操作系统原理与设计. 第 13 章 IO Systems(IO 管理 ) 陈香兰 2009 年 09 月 01 日 中国科学技术大学计算机学院

操作系统原理与设计. 第 13 章 IO Systems(IO 管理 ) 陈香兰 2009 年 09 月 01 日 中国科学技术大学计算机学院 第 13 章 IO Systems(IO 管理 ) 中国科学技术大学计算机学院 2009 年 09 月 01 日 提纲 I/O Hardware 1 I/O Hardware Polling Interrupts Direct Memory Access (DMA) I/O hardware summary 2 Block and Character Devices Network Devices

More information

Presentation Title. By Author The MathWorks, Inc. 1

Presentation Title. By Author The MathWorks, Inc. 1 Presentation Title By Author 2014 The MathWorks, Inc. 1 4G LTE 轻松入门 陈建平 MathWorks 中国 2014 The MathWorks, Inc. 2 大纲 4G 综述 LTE 系统工具箱的应用 黄金参考模型 点到点链路级仿真 信号发生和分析 信号信息恢复 4G 系统的并行仿真加速 3 无线标准的演化 * *Although ETSI

More information

云计算入门 Introduction to Cloud Computing GESC1001

云计算入门 Introduction to Cloud Computing GESC1001 Lecture #6 云计算入门 Introduction to Cloud Computing GESC1001 Philippe Fournier-Viger Professor School of Humanities and Social Sciences philfv8@yahoo.com Fall 2017 1 Introduction Last week: how cloud applications

More information

NVIDIA FOR DEEP LEARNING. Bill Veenhuis

NVIDIA FOR DEEP LEARNING. Bill Veenhuis NVIDIA FOR DEEP LEARNING Bill Veenhuis bveenhuis@nvidia.com Nvidia is the world s leading ai platform ONE ARCHITECTURE CUDA 2 GPU: Perfect Companion for Accelerating Apps & A.I. CPU GPU 3 Intro to AI AGENDA

More information

Deep Learning Accelerators

Deep Learning Accelerators Deep Learning Accelerators Abhishek Srivastava (as29) Samarth Kulshreshtha (samarth5) University of Illinois, Urbana-Champaign Submitted as a requirement for CS 433 graduate student project Outline Introduction

More information

Oracle 一体化创新云技术 助力智慧政府信息化战略. Copyright* *2014*Oracle*and/or*its*affiliates.*All*rights*reserved.** *

Oracle 一体化创新云技术 助力智慧政府信息化战略. Copyright* *2014*Oracle*and/or*its*affiliates.*All*rights*reserved.** * Oracle 一体化创新云技术 助力智慧政府信息化战略 ?* x * Exadata Exadata* * * Exadata* InfiniBand 0Gbits/S 5?10 * Exadata* * Exadata& & Oracle exadata! " 4 " 240 12! "!! " " " Exadata* Exadata & Single?Instance*Database*

More information

AvalonMiner Raspberry Pi Configuration Guide. AvalonMiner 树莓派配置教程 AvalonMiner Raspberry Pi Configuration Guide

AvalonMiner Raspberry Pi Configuration Guide. AvalonMiner 树莓派配置教程 AvalonMiner Raspberry Pi Configuration Guide AvalonMiner 树莓派配置教程 AvalonMiner Raspberry Pi Configuration Guide 简介 我们通过使用烧录有 AvalonMiner 设备管理程序的树莓派作为控制器 使 用户能够通过控制器中管理程序的图形界面 来同时对多台 AvalonMiner 6.0 或 AvalonMiner 6.01 进行管理和调试 本教程将简要的说明 如何把 AvalonMiner

More information

云计算入门 Introduction to Cloud Computing GESC1001

云计算入门 Introduction to Cloud Computing GESC1001 Lecture #3 云计算入门 Introduction to Cloud Computing GESC1001 Philippe Fournier-Viger Professor School of Humanities and Social Sciences philfv8@yahoo.com Fall 2018 1 Course schedule Part 1 Part 2 Part 3 Introduction

More information

2. Introduction to Digital Media Format

2. Introduction to Digital Media Format Digital Asset Management 数字媒体资源管理 2. Introduction to Digital Media Format 任课 老师 : 张宏鑫 2014-09-30 Outline Image format and coding methods Audio format and coding methods Video format and coding methods

More information

2.8 Megapixel industrial camera for extreme environments

2.8 Megapixel industrial camera for extreme environments Prosilica GT 1920 Versatile temperature range for extreme environments PTP PoE P-Iris and DC-Iris lens control 2.8 Megapixel industrial camera for extreme environments Prosilica GT1920 is a 2.8 Megapixel

More information

5.1 Megapixel machine vision camera with GigE interface

5.1 Megapixel machine vision camera with GigE interface Manta G-507 Latest Sony CMOS sensor PoE optional Angled-head and board level variants Video-iris lens control 5.1 Megapixel machine vision camera with GigE interface Manta G-507 is a 5.1 Megapixel machine

More information

Apache OpenWhisk + Kubernetes:

Apache OpenWhisk + Kubernetes: Apache OpenWhisk + Kubernetes: A Perfect Match for Your Serverless Platform Ying Chun Guo guoyingc@cn.ibm.com Zhou Xing xingzhou@qiyi.com Agenda What is serverless? Kubernetes + Apache OpenWhisk Technical

More information

Versal: The New Xilinx Adaptive Compute Acceleration Platform (ACAP) in 7nm

Versal: The New Xilinx Adaptive Compute Acceleration Platform (ACAP) in 7nm Engineering Director, Xilinx Silicon Architecture Group Versal: The New Xilinx Adaptive Compute Acceleration Platform (ACAP) in 7nm Presented By Kees Vissers Fellow February 25, FPGA 2019 Technology scaling

More information

三 依赖注入 (dependency injection) 的学习

三 依赖注入 (dependency injection) 的学习 三 依赖注入 (dependency injection) 的学习 EJB 3.0, 提供了一个简单的和优雅的方法来解藕服务对象和资源 使用 @EJB 注释, 可以将 EJB 存根对象注入到任何 EJB 3.0 容器管理的 POJO 中 如果注释用在一个属性变量上, 容器将会在它被第一次访问之前赋值给它 在 Jboss 下一版本中 @EJB 注释从 javax.annotation 包移到了 javax.ejb

More information

Accelerating your Embedded Vision / Machine Learning design with the revision Stack. Giles Peckham, Xilinx

Accelerating your Embedded Vision / Machine Learning design with the revision Stack. Giles Peckham, Xilinx Accelerating your Embedded Vision / Machine Learning design with the revision Stack Giles Peckham, Xilinx Xilinx Foundation at the Edge Vision Customers Using Xilinx >80 ADAS Models From 23 Makers >80

More information

PTZ PRO 2. Setup Guide 设置指南

PTZ PRO 2. Setup Guide 设置指南 PTZ PRO 2 Setup Guide 设置指南 3 ENGLISH 8 简体中文 2 KNOW YOUR PRODUCT 1 4 9 5 10 6 7 11 8 2 13 14 3 12 15 Camera 1. 10X lossless zoom 2. Camera LED 3. Kensington Security Slot Remote 4. Mirror 5. Zoom in 6.

More information

下一代互联网的发展 Next Generation Internet

下一代互联网的发展 Next Generation Internet 下一代互联网的发展 Next Generation Internet Technology and Future Development Beijing University of Posts and Telecommunications Sept. 2018 Agenda Internet around world Scale, Technology, Standardization and Applications

More information

Outline. Motivations (1/3) Distributed File Systems. Motivations (3/3) Motivations (2/3)

Outline. Motivations (1/3) Distributed File Systems. Motivations (3/3) Motivations (2/3) Outline TFS: Tianwang File System -Performance Gain with Variable Chunk Size in GFS-like File Systems Authors: Zhifeng Yang, Qichen Tu, Kai Fan, Lei Zhu, Rishan Chen, Bo Peng Introduction (what s it all

More information

Wireless Presentation Pod

Wireless Presentation Pod Wireless Presentation Pod WPP20 www.yealink.com Quick Start Guide (V10.1) Package Contents If you find anything missing, contact your system administrator. WPP20 Wireless Presentation Pod Quick Start Guide

More information

学习沉淀成长分享 EIGRP. 红茶三杯 ( 朱 SIR) 微博 : Latest update:

学习沉淀成长分享 EIGRP. 红茶三杯 ( 朱 SIR) 微博 :  Latest update: 学习沉淀成长分享 EIGRP 红茶三杯 ( 朱 SIR) 微博 :http://t.sina.com/vinsoney Latest update: 2012-06-01 课程目标 EIGRP 协议基础 EIGRP 基础配置 EIGRP 协议基础 EIGRP 的协议特点 EIGRP 的三张表 EIGRP 数据包 初始路由发现 EIGRP metric DUAL 算法 EIGRP 的协议特点 CISCO

More information

Filters: E-Syn, Momentum, Transient and the DAC

Filters: E-Syn, Momentum, Transient and the DAC Topic 6: Filters: E-Syn, Momentum, Transient and the DAC ADS 2001 Fundamentals - Sept, 2001Slide 6-1 Using the E-Syn tool What does E-Syn do? It makes it easy to create FILTERS and Matching Networks. E-Syn

More information

IBM 开源技术微讲堂容器技术与微服务系列

IBM 开源技术微讲堂容器技术与微服务系列 IBM 开源技术微讲堂容器技术与微服务系列 第 二讲 容器管理 工具 Docker Swarm h.p://ibm.biz/opentech- ma 1 容器技术和微服务 系列公开课 每周四晚 8 点档 Docker 一种全新的 工作 方式 容器管理 工具 Docker Swarm 数据中 心操作系统的内核 Apache Mesos 大数据 Web 服务 CI/CD: 一个都不能少 深 入理解 Mesos

More information

LAB 5: S-parameter Simulation and Optimization

LAB 5: S-parameter Simulation and Optimization ADS Fundamentals - 2001 LAB 5: S-parameter Simulation and Optimization Overview - This exercise continues the amp_1900 design. It teaches how to setup, run, optimize and plot the results of various S-parameter

More information

DEV Office 客户端开发增强

DEV Office 客户端开发增强 DEV261 2007 Office 客户端开发增强 课程内容概述 在 Office 2007 客户端众多新特性中, 和使用者关系最为密切的应该是在 UI 方面的增强 例如, Office 2007 摒弃了传统以计算机命令作为功能组织单位的菜单, 而替换为以用户命令为组织单位的 Ribbon,, 这使得用户可以根据当前正在操作的文档内容, 快速定位到想要执行的操作 对于每一个信息工作者而言,UI,

More information

计算机科学与技术专业本科培养计划. Undergraduate Program for Specialty in Computer Science & Technology

计算机科学与技术专业本科培养计划. Undergraduate Program for Specialty in Computer Science & Technology 计算机科学与技术学院 计算机科学与技术学院下设 6 个研究所 : 计算科学理论研究所 数据工程研究所 并行分布式计算研究所 数据存储研究所 数字媒体研究所 信息安全研究所 ;2 个中心 : 嵌入式软件与系统工程中心和教学中心 外存储系统国家专业实验室 教育部信息存储系统重点实验室 中国教育科研网格主结点 国家高性能计算中心 ( 武汉 ) 服务计算技术与系统教育部重点实验室 湖北省数据库工程技术研究中心

More information

Chapter 1 (Part 2) Introduction to Operating System

Chapter 1 (Part 2) Introduction to Operating System Chapter 1 (Part 2) Introduction to Operating System 张竞慧办公室 : 计算机楼 366 室电邮 :jhzhang@seu.edu.cn 主页 :http://cse.seu.edu.cn/personalpage/zjh/ 电话 :025-52091017 1.1 Computer System Components 1. Hardware provides

More information

Safe Memory-Leak Fixing for C Programs

Safe Memory-Leak Fixing for C Programs Safe Memory-Leak Fixing for C Programs Qing Gao, Yingfei Xiong, Yaqing Mi, Lu Zhang, Weikun Yang, Zhaoing Zhou, Bing Xie, Hong Mei Institute of Software, Peking Unversity 内存管理 安全攸关软件的开发必然涉及内存管理问题 软件工程经典问题,

More information

LAB 3: DC Simulations and Circuit Modeling

LAB 3: DC Simulations and Circuit Modeling ADS Fundamentals - 2001 LAB 3: DC Simulations and Circuit Modeling Overview - This chapter introduces the use of behavioral models to create a system such as a receiver. This lab will be the first step

More information

H3C CAS 虚拟机支持的操作系统列表. Copyright 2016 杭州华三通信技术有限公司版权所有, 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 本文档中的信息可能变动, 恕不另行通知

H3C CAS 虚拟机支持的操作系统列表. Copyright 2016 杭州华三通信技术有限公司版权所有, 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 本文档中的信息可能变动, 恕不另行通知 H3C CAS 虚拟机支持的操作系统列表 Copyright 2016 杭州华三通信技术有限公司版权所有, 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 本文档中的信息可能变动, 恕不另行通知 目录 1 Windows 1 2 Linux 1 2.1 CentOS 1 2.2 Fedora 2 2.3 RedHat Enterprise

More information

Understanding IO patterns of SSDs

Understanding IO patterns of SSDs 固态硬盘 I/O 特性测试 周大 众所周知, 固态硬盘是一种由闪存作为存储介质的数据库存储设备 由于闪存和磁盘之间物理特性的巨大差异, 现有的各种软件系统无法直接使用闪存芯片 为了提供对现有软件系统的支持, 往往在闪存之上添加一个闪存转换层来实现此目的 固态硬盘就是在闪存上附加了闪存转换层从而提供和磁盘相同的访问接口的存储设备 一方面, 闪存本身具有独特的访问特性 另外一方面, 闪存转换层内置大量的算法来实现闪存和磁盘访问接口之间的转换

More information

DBI-B311. Revolution R 和微软数据平台 赵利超微软数据平台技术专家

DBI-B311. Revolution R 和微软数据平台 赵利超微软数据平台技术专家 DBI-B311 Revolution R 和微软数据平台 赵利超微软数据平台技术专家 R 以及 R 在企业里的应用 Comprehensive R Archive Network = 统计语言 + 数据挖掘 统计分析语言 巨大的算法包支持数据导入, 数据整理, 数据的统计分析和可视化 来源 : www.rexeranalytics.com Revolution R 数据量 内存限制 基于硬盘的扩展性

More information

A Benchmark For Stroke Extraction of Chinese Characters

A Benchmark For Stroke Extraction of Chinese Characters 2015-09-29 13:04:51 http://www.cnki.net/kcms/detail/11.2442.n.20150929.1304.006.html 北京大学学报 ( 自然科学版 ) Acta Scientiarum Naturalium Universitatis Pekinensis doi: 10.13209/j.0479-8023.2016.025 A Benchmark

More information

BlueCore BlueTunes Configuration Tool User Guide

BlueCore BlueTunes Configuration Tool User Guide BlueCore BlueTunes Configuration Tool User Guide Issue 1 CSR Cambridge Science Park Milton Road Cambridge CB4 0WH United Kingdom Registered in England 3665875 Tel.: +44 (0)1223 692000 Fax.: +44 (0)1223

More information

Neural Network Exchange Format

Neural Network Exchange Format Copyright Khronos Group 2017 - Page 1 Neural Network Exchange Format Deploying Trained Networks to Inference Engines Viktor Gyenes, specification editor Copyright Khronos Group 2017 - Page 2 Outlook The

More information

Company Overview.

Company Overview. 电源定制专家 Company Overview Billyonline founded in 2008, the company mainly engaged in the LED power supply, communication system power, embedded system power supply, custom power supplies, power modules,

More information

DNNBuilder: an Automated Tool for Building High-Performance DNN Hardware Accelerators for FPGAs

DNNBuilder: an Automated Tool for Building High-Performance DNN Hardware Accelerators for FPGAs IBM Research AI Systems Day DNNBuilder: an Automated Tool for Building High-Performance DNN Hardware Accelerators for FPGAs Xiaofan Zhang 1, Junsong Wang 2, Chao Zhu 2, Yonghua Lin 2, Jinjun Xiong 3, Wen-mei

More information

PCU50 的整盘备份. 本文只针对操作系统为 Windows XP 版本的 PCU50 PCU50 启动硬件自检完后, 出现下面文字时, 按向下光标键 光标条停在 SINUMERIK 下方的空白处, 如下图, 按回车键 PCU50 会进入到服务画面, 如下图

PCU50 的整盘备份. 本文只针对操作系统为 Windows XP 版本的 PCU50 PCU50 启动硬件自检完后, 出现下面文字时, 按向下光标键 光标条停在 SINUMERIK 下方的空白处, 如下图, 按回车键 PCU50 会进入到服务画面, 如下图 PCU50 的整盘备份 本文只针对操作系统为 Windows XP 版本的 PCU50 PCU50 启动硬件自检完后, 出现下面文字时, 按向下光标键 OS Loader V4.00 Please select the operating system to start: SINUMERIK Use and to move the highlight to your choice. Press Enter

More information

Lecture 8 Applications of CNNs

Lecture 8 Applications of CNNs Lecture 8 Applications of CNNs Lin ZHANG, PhD School of Software Engineering Tongji University Spring 2018 Outline Vision based Parking slot Detection Human body Keypoint Detection Outline Vision based

More information

DETAILED PIN DESCRIPTION Table 1 PIN 8PIN SOIC PIN TO92 SYMBOL DESCRIPTION 5 1 GND Ground. 4 2 DQ Data Input/Output pin. For 1-Wire operation: Open dr

DETAILED PIN DESCRIPTION Table 1 PIN 8PIN SOIC PIN TO92 SYMBOL DESCRIPTION 5 1 GND Ground. 4 2 DQ Data Input/Output pin. For 1-Wire operation: Open dr PRELIMINARY Programmable Resolution 1-Wire Digital Thermometer FEATURES Unique 1-Wire TM interface requires only one port pin for communication Multidrop capability simplifies distributed temperature sensing

More information

Air Speaker. Getting started with Logitech UE Air Speaker. 快速入门罗技 UE Air Speaker. Wireless speaker with AirPlay. 无线音箱 (AirPlay 技术 )

Air Speaker. Getting started with Logitech UE Air Speaker. 快速入门罗技 UE Air Speaker. Wireless speaker with AirPlay. 无线音箱 (AirPlay 技术 ) Air Speaker Getting started with Logitech UE Air Speaker Wireless speaker with AirPlay 快速入门罗技 UE Air Speaker 无线音箱 (AirPlay 技术 ) for ipad, iphone, ipod touch and itunes ipad, iphone, ipod touch itunes Logitech

More information

基于 Davinci 平台的视频应用开发 沈燕飞

基于 Davinci 平台的视频应用开发 沈燕飞 基于 Davinci 平台的视频应用开发 沈燕飞 DaVinci 技术发布里程图 2 达芬奇五大类平台及目标应用 3 TMS320DM6446/3 处理器构架 4 TMS320DM643x 处理器构架 5 DM355 实现便携式应用的创新 6 TMS320DM648/DM647 处理器 7 TMS320DM6467 芯片内部框图 8 实现多格式高清转码功能解决方案 9 DVSDK 工具链 } 完整的开发系统

More information

3dvia Composer Solidworks

3dvia Composer Solidworks 3dvia Composer Solidworks 1 / 6 2 / 6 3 / 6 3dvia Composer Solidworks Detail View of a Detail View. Garth COLEMAN: Nice tips, Tim! Easily Use Your Drawing Frames for Technical Illustrations Just with 3DVIA

More information

TDS - 3. Battery Compartment. LCD Screen. Power Button. Hold Button. Body. Sensor. HM Digital, Inc.

TDS - 3. Battery Compartment. LCD Screen. Power Button. Hold Button. Body. Sensor. HM Digital, Inc. TDS - 3 Battery Compartment LCD Screen Power Button Hold Button Body Sensor Dual Range Measures from 0~999ppm, with a resolution of 1 ppm. From 1,000 to 9,990ppm, the resolution is 10 ppm, indicated by

More information

Advanced Design System Fundamentals

Advanced Design System Fundamentals Advanced Design System - 2001 Fundamentals 3 days of intensive training - prerequisite for all other ADS courses. Course Part Number N3211A/B from Agilent EEsof EDA Customer Education E8900-90346 Instructor

More information

上海泛腾电子科技有限公司徐鹤军 上海张江高科技园区碧波路 500 号 306 室. Tel :

上海泛腾电子科技有限公司徐鹤军 上海张江高科技园区碧波路 500 号 306 室. Tel : 上海泛腾电子科技有限公司徐鹤军 15901848767 上海张江高科技园区碧波路 500 号 306 室 Tel : 5027-0385 Mission Statement FIVAL focus on design ready-for-production platform, help customer speed up time to market is our mission. Foresight

More information

New Media Data Analytics and Application. Lecture 7: Information Acquisition An Integration Ting Wang

New Media Data Analytics and Application. Lecture 7: Information Acquisition An Integration Ting Wang New Media Data Analytics and Application Lecture 7: Information Acquisition An Integration Ting Wang Outlines Product-Oriented Data Collection Make a Web Crawler System Integration You should know your

More information

XPU A Programmable FPGA Accelerator for Diverse Workloads

XPU A Programmable FPGA Accelerator for Diverse Workloads XPU A Programmable FPGA Accelerator for Diverse Workloads Jian Ouyang, 1 (ouyangjian@baidu.com) Ephrem Wu, 2 Jing Wang, 1 Yupeng Li, 1 Hanlin Xie 1 1 Baidu, Inc. 2 Xilinx Outlines Background - FPGA for

More information

基于单视图的三维重建 章国锋 浙江大学 CAD&CG 国家重点实验室

基于单视图的三维重建 章国锋 浙江大学 CAD&CG 国家重点实验室 基于单视图的三维重建 章国锋 浙江大学 CAD&CG 国家重点实验室 Single View Modeling Breaking out of 2D now we are ready to break out of 2D And enter the real world! on to 3D Enough of images! We want more of the plenoptic function

More information

我们应该做什么? 告知性分析 未来会发生什么? 预测性分析 为什么会发生 诊断性分析 过去发生了什么? 描述性分析 高级分析 传统 BI. Source: Gartner

我们应该做什么? 告知性分析 未来会发生什么? 预测性分析 为什么会发生 诊断性分析 过去发生了什么? 描述性分析 高级分析 传统 BI. Source: Gartner 价值 我们应该做什么? 告知性分析 未来会发生什么? 预测性分析 为什么会发生 诊断性分析 过去发生了什么? 描述性分析 传统 BI 高级分析 Source: Gartner 困难 常见方案 Cortana 高级分析套件 SQL Server 2016 或者 Microsoft R Server Machine Learning 或者 Microsoft R Server 1. 业务理解 2. 数据理解

More information

Towards a Uniform Template-based Architecture for Accelerating 2D and 3D CNNs on FPGA

Towards a Uniform Template-based Architecture for Accelerating 2D and 3D CNNs on FPGA Towards a Uniform Template-based Architecture for Accelerating 2D and 3D CNNs on FPGA Junzhong Shen, You Huang, Zelong Wang, Yuran Qiao, Mei Wen, Chunyuan Zhang National University of Defense Technology,

More information

CHAPTER 5 NEW INTERNET APPLICATIONS

CHAPTER 5 NEW INTERNET APPLICATIONS CHAPTER 5 NEW INTERNET APPLICATIONS 5.1 INSTANT MESSAGING (IM) 5.1.1 OVERVIEW OF INSTANT MESSAGING (IM) Instant messaging (IM) is an extension of e-mail that allows two or more people to contact each other

More information

大数据基准测试 : 原理 方法和应用. 詹剑锋 中国科学院计算技术研究所中国科学院大学 可信云服务大会, 北京 INSTITUTE OF COMPUTING TECHNOLOGY

大数据基准测试 : 原理 方法和应用. 詹剑锋   中国科学院计算技术研究所中国科学院大学 可信云服务大会, 北京 INSTITUTE OF COMPUTING TECHNOLOGY 大数据基准测试 : 原理 方法和应用 詹剑锋 http://prof.ict.ac.cn/bigdatabench 中国科学院计算技术研究所中国科学院大学 2015.7.31 2015 可信云服务大会, 北京 INSTITUTE OF COMPUTING TECHNOLOGY Outline 原理 方法 BigDataBench 计量的意义 科学和人类日常生活的基础 牛顿 ( 力 ) 开尔文 ( 温度

More information

Throughput-Optimized OpenCL-based FPGA Accelerator for Large-Scale Convolutional Neural Networks

Throughput-Optimized OpenCL-based FPGA Accelerator for Large-Scale Convolutional Neural Networks Throughput-Optimized OpenCL-based FPGA Accelerator for Large-Scale Convolutional Neural Networks Naveen Suda, Vikas Chandra *, Ganesh Dasika *, Abinash Mohanty, Yufei Ma, Sarma Vrudhula, Jae-sun Seo, Yu

More information

EFFICIENT INFERENCE WITH TENSORRT. Han Vanholder

EFFICIENT INFERENCE WITH TENSORRT. Han Vanholder EFFICIENT INFERENCE WITH TENSORRT Han Vanholder AI INFERENCING IS EXPLODING 2 Trillion Messages Per Day On LinkedIn 500M Daily active users of iflytek 140 Billion Words Per Day Translated by Google 60

More information

IBM 开源技术微讲堂容器技术与微服务系列

IBM 开源技术微讲堂容器技术与微服务系列 IBM 开源技术微讲堂容器技术与微服务系列 第五讲 Kubernetes 简介 h,p://ibm.biz/opentech- ma 1 容器技术和微服务 系列公开课 每周四晚 8 点档 Docker 一种全新的 工作 方式 容器编排 工具 Docker Swarm 数据中 心操作系统的内核 Apache Mesos 大数据 Web 服务 CI/CD: 一个都不能少 深 入理解 Mesos 的资源调度及使

More information

PMI,PMI (China) Membership, Certifications. Bob Chen PMI (China) August 31, 2010

PMI,PMI (China) Membership, Certifications. Bob Chen PMI (China) August 31, 2010 PMI,PMI (China) Membership, Certifications Bob Chen PMI (China) August 31, 2010 内容 (1) PMI Global (2) PMI China update (3) Certifications (4) Memberships 2 PMI Global Developments 3 What is PMI? Global

More information

Optimizing CNN-based Object Detection Algorithms on Embedded FPGA Platforms

Optimizing CNN-based Object Detection Algorithms on Embedded FPGA Platforms Optimizing CNN-based Object Detection Algorithms on Embedded FPGA Platforms Ruizhe Zhao 1, Xinyu Niu 1, Yajie Wu 2, Wayne Luk 1, and Qiang Liu 3 1 Imperial College London {ruizhe.zhao15,niu.xinyu10,w.luk}@imperial.ac.uk

More information

借助 SDSoC 快速開發複雜的嵌入式應用

借助 SDSoC 快速開發複雜的嵌入式應用 借助 SDSoC 快速開發複雜的嵌入式應用 May 2017 What Is C/C++ Development System-level Profiling SoC application-like programming Tools and IP for system-level profiling Specify C/C++ Functions for Acceleration Full System

More information

Machine Vision Market Analysis of 2015 Isabel Yang

Machine Vision Market Analysis of 2015 Isabel Yang Machine Vision Market Analysis of 2015 Isabel Yang CHINA Machine Vision Union Content 1 1.Machine Vision Market Analysis of 2015 Revenue of Machine Vision Industry in China 4,000 3,500 2012-2015 (Unit:

More information