Reliability and Energy-aware Cache Reconfiguration for Embedded Systems

Size: px
Start display at page:

Download "Reliability and Energy-aware Cache Reconfiguration for Embedded Systems"

Transcription

1 Relablty and Energy-aware Cache Reconfguraton for Embedded Systems Yuanwen Huang and Prabhat Mshra Department of Computer and Informaton Scence and Engneerng Unversty of Florda, Ganesvlle FL , USA {yuanwen, Abstract Cache vulnerablty due to soft errors s one of the relablty concerns n embedded systems. Dynamc reconfguraton technques are wdely studed for mprovng cache energy wthout consderng the mplcatons of cache vulnerablty. Mantanng a useful data longer n the cache can be benefcal for energy mprovement due to reducton n mss rates, however, longer data retenton negatvely mpacts the vulnerablty due to soft errors. Ths paper studes the trade-off between energy effcency mprovement and reducton n cache vulnerablty durng cache reconfguraton. We propose two heurstc approaches for relablty- and energy-aware dynamc cache reconfguraton. Expermental results demonstrate that our proposed approaches can provde drastc reducton n cache vulnerablty wth mnor mpact on energy and performance. I. INTRODUCTION Soft errors are transent faults n CMOS crcuts, whch are caused by energy carryng partcles (cosmc rays or substrate alpha partcles). These transent faults flp bts n storage cells or change the logc values n functonal unts. Soft error rate per chp s expected to grow due to the growng densty of transstors on chp []. Prevous studes have concluded that unprotected memory elements are the most vulnerable components to soft errors [2]. The cache n embedded mcroprocessors s most susceptble to soft errors for several reasons: () cache occupes the majorty of chp area, () cache has an extremely hgh densty of transstors, and () cache cell sze scales down, whch reduces the crtcal charge needed to flp a bn stored data. Due to wdespread use of embedded systems n safety-crtcal devces, s necessary to protect embedded caches from soft errors. Dynamc Cache Reconfguraton (DCR) s a wdely studed method for optmzng energy and performance n embedded systems [3] [4]. The basc dea of cache reconfguraton s that dfferent programs have varyng data and nstructon access characterstcs durng executon (runtme) and DCR tres to fnd the optmal cache confguraton for a gven applcaton (program). For example, we can mprove performance by ncreasng cache sze when a program needs a lot of data accesses. Smlarly, we can save energy by shuttng down a part of the cache f the program s not so data-ntensve. However, cache reconfguraton wll also affect the vulnerablty due to soft errors. A large cache sze for a data-ntensve program mght have fewer cache msses and thus mprove energy and performance effcency, bus also lkely to ncrease the Ths work was partally supported by the NSF grants (CNS and CNS-44667) and SRC grant (24-TS-2554). vulnerablty of cache data because of longer data retenton n the cache. Ths nterestng trade-off between performance, energy and vulnerablty s the motvaton for ths work. Is a major challenge to mprove the relablty of real-tme embedded systems wth specal desgn consderatons of realtme constrans. Hard real-tme systems requre that all tasks must complete executon before ther deadlnes to ensure correct executon. Due to strngent tmng constrants, schedulng for hard real-tme systems must perform task schedulablty analyss based on task attrbutes (such as deadlnes, prortes, and perods). For soft real-tme systems, mnor deadlne msses may resuln temporary servce degradaton, but wll not lead to ncorrect behavor. An effcent cache reconfguraton framework s proposed for energy optmzaton n soft real-tme systems n [4]. They explot the flexblty of soft real-tme systems and manage to acheve consderable energy savngs wth mnor mpacts on user experences. However ther method does not consder the vulnerablty of cache due to soft errors. To the best of our knowledge, there are no pror efforts n analyzng the cache vulnerablty durng cache reconfguraton. We propose a methodology for usng cache reconfguraton n soft real-tme systems. Our approach provdes an effcent cache tunng strategy based on statc proflng and dynamc schedulng of tasks. Our proposed research s able to balance performance, energy consumpton and vulnerablty, so that tasks can meet ther deadlnes and energy savngs whle vulnerablty reducton can also be acheved. The rest of the paper s organzed as follows. Secton II presents related work on DCR and cache vulnerablty. Secton III motvates the reader by llustratng the effect of DCR on performance, energy consumpton and vulnerablty. Secton IV presents our cache reconfguraton methodology. Secton V presents the expermental results. Fnally, Secton VI concludes the paper. II. BACKGROUND AND RELATED WORK Ths secton surveys exstng works n two related domans: cache reconfguraton and cache vulnerablty. A. Cache Reconfguraton Applcatons have vared nstructon and data access patterns, whch means that they requre dfferent cache requrements n terms of cache sze, lne sze, and assocatvty. In nter-task (applcaton-based) cache reconfguraton, DCR tunes the cache when a new task starts ts executon. Fg.

2 llustrates that DCR can mprove overall performance by tunng cache sze for a system wth three tasks. We assume that cache sze s the only tunable parameter of cache for the ease of llustraton (lne sze and assocatvty reman the same). Fg. (a) shows a tradtonal system usng a fxed base cache, whereas n Fg. (b) each task uses ts favorable cache confguraton and the overall executon tme s mproved. these two ntervals, the data needs to be read for reuse, whle a flpped bt can corrupt the data, causng the program to use corrupted cache data. Whle the nterval between the second read and the second wrte s un-vulnerable, the data wll be updated by the wrte operaton even f soft errors corrupt. (a) A tradtonal system (b) A system wth reconfgurable cache Fg. : DCR n a system wth three tasks. DCR has been extensvely studed by prevous works [3] [4] [6]. The underlyng cache archtecture used n our work contans four banks whch can operate as four separate ways. The cache ways can be confgured to shut down so as to vary the cache sze. Smlarly, lne sze can be adjusted by confgurng the fetch unt to dfferent lengths and alter way assocatvty by concatenatng ways. There are many pror efforts n developng energy- and performance-aware cache reconfguraton technques. Wang et al. [3] studed schedulng-aware cache reconfguraton for energy savng n real-tme systems. Ca et al. [7] showed that cache sze could mpact performance, energy and relablty. However, none of the prevous works has consdered cache vulnerablty mprovement durng DCR. B. Cache Vulnerablty In order to facltate relablty analyss of cache, a measurement method s needed for the quantfcaton of cache vulnerablty due to soft errors. Mukherjee et al. [8] ntroduced the concept of Archtectural Vulnerablty Factor (AVF). Vulnerablty analyss dvdes a bt s lfetme nto vulnerable and un-vulnerable ntervals. A bs vulnerable for an nterval, f soft errors that happen n ths nterval wll cause the program to get contamnated data. Smlar to [8] and [], we measure the vulnerablty of cache on a per-byte bass. Actvtes durng the lfetme of a byte ncludes dle, fll, read, wrte and evcton. As shown n Fg. 2, the vulnerable ntervals are marked by two black rectangles: the data s vulnerable between the frst wrte and the second read as well as between the second wrte and the thrd read. Durng Fg. 2: Vulnerable ntervals of a data elemenn cache (where W=Wrte Access, R=Read Access). Byte Cycles s an wdely used term for measurng cache vulnerablty. We measure the vulnerablty of cache as the summaton of vulnerable ntervals of all bytes. It can be defned as follows: Vulnerablty = vulnerable tme of byte all bytes Major relablty mprovement technques nclude error detecton and error preventon [] [9]. Error detecton technques, such as party cachng and error-correctng codes, use spatal redundancy to detect errors. Error preventon technques [], such as perodc flushng and early wrteback, are ntroduced. These hardware technques need extra hardware supporn cache, and are not senstve to the data access pattern of the applcatons. In ths paper, we assume no error preventon, as we am to reduce vulnerablty wth the gven reconfgurable cache archtecture durng DCR. Our goal s to take advantage of the reconfgurable cache and the data access pattern of applcatons to reduce vulnerablty whle stll save energy and meet tmng constrants. III. MOTIVATION: ILLUSTRATIVE EXAMPLE Exstng technques for cache reconfguraton do not consder cache vulnerablty due to soft errors. Fg. 3 llustrates the nterestng behavors of vulnerablty and energy consumpton under dfferent cache confguratons. We run the program pegwt (a benchmark from MedaBench [2]) for 8 tmes, and each run uses a dfferent confguraton for L data cache. Each confguraton conssts of three parameters: cache sze, assocatvty and lne sze. For example, 24B W 64B mples a cache confguraton wth cache sze of 24 bytes, one way wth 64 bytes lne sze. Fg. 3 shows that the energy consumpton, vulnerablty and mss rate change drastcally as we tune cache confguratons. Both energy and vulnerablty relate to cache mss rates and cache confguratons. However, the correlaton behavors are qute dfferent and even conflctng n certan scenaros. In Fg. 3(a), energy consumpton decreases when mss rate decreases (the frst 9 cache confguratons), but keeps ncreasng for the last 9 cache confguratons even though mss rates are farly low. The reason s that total energy consumpton s the sum of dynamc and statc energy. For the frst 9 cache confguratons, the total energy s domnated by dynamc energy consumpton, thus the total energy decreases when

3 mss rate (dynamc energy consumpton) decreases. However, for the last 9 cache confguratons wth large cache sze, the total energy s domnated by statc energy consumpton even though mss rates are low. In Fg. 3(b), the relaton between vulnerablty and mss rate s a lttle more complex. Cache sze has a sgnfcannfluence on vulnerablty. Confguratons wth cache sze of 24B s much less vulnerable than confguratons wth cache sze of 248B and 496B. For confguratons wth the same cache sze, vulnerablty decreases when mss rate ncreases and vce versa. For the same cache sze, lower mss rate means that more drty data s stayng n cache for longer tme, whch contrbutes to vulnerablty. Energy (nj) Vulnerablty (n Byte Cycles) (a) Energy and of pegwt 5 Energy Vulnerablty 2.%.%.% 9.% 8.% 7.% 6.% 5.% 4.% 2.%.%.% 9.% 8.% 7.% 6.% 5.% 4.% (b) Vulnerablty and of pegwt Fg. 3: Energy (a) and vulnerablty (b) values of pegwt benchmark usng dfferent cache confguratons. There are two nterestng observatons here: () small cache sze mght have hgh energy consumpton but less vulnerable; () low mss rate mght be energy frendly but leads to hgher vulnerablty. These observatons motvate us to nvestgate the trade-off between vulnerablty, energy and performance durng DCR. In ths paper, we develop a cache reconfguraton framework that consders both energy and cache vulnerablty. Snce both vulnerablty and energy depend on program characterstcs and cache confguratons, we statcally analyze varous cache confguratons for each applcaton. Such an approach s sutable for embedded systems snce applcatons are known a pror. Based on statc analyss, we propose two heurstc approaches for nter-task dynamc cache tunng that can select sutable confguratons durng runtme. A. System Model IV. DCR FOR ENERGY AND RELIABILITY Let us defne the relablty-aware DCR problem wth consderaton of both energy and cache vulnerablty. The system we consder can be modeled as: A processor wth a reconfgurable cache whch supports m possble cache confguratons C = {c, c 2, c 3,..., c m }. A set of n ndependent tasks T = {t, t 2, t 3,..., t n }. Each task T has attrbutes ncludng arrval tme, perod and deadlne. Non-preemptve executon s employed, whch means, a task wll contnue executon untl completon once t starts to execute. Let e cj, p cj and v cj denote the energy, executon tme (performance) and vulnerablty of task when s run on cache confguraton c j. The relablty-aware DCR problem s to fnd a cache assgnment for the task set such that energy consumpton and vulnerablty are mnmzed wth each of the tasks satsfyng ts deadlne. One common practce for dealng wth mult-objectve optmzaton problem s to optmze one objectve at a tme whle transformng other objectves nto constrants. We ntroduce the Vulnerabltyaware Energy Optmzaton (VAEO) problem, whch ams at mnmzng the total energy consumpton, whle addng vulnerablty of tasks as constrants. A heurstc algorthm based on run-tme task schedulng s proposed for solvng the VAEO problem. We also ntroduce the Energy-aware Vulnerablty Optmzaton (EAVO) problem, whch ams at mnmzng the total vulnerablty whle addng energy consumpton constrants. B. Vulnerablty-aware Energy Optmzaton (VAEO) The VAEO DCR problem can be defned as the followng: n mnmze e cj () subject to = v cj V t, [, n] (2) a t + w t + p cj D t, [, n] (3) Let n represent the total number of task arrvals wthn the least common multple (hyper-perod ) of all task perods. n = ecj s the total energy consumpton of n tasks 2. Equaton 2 and 3 contan the vulnerablty and tmng constrants. V t s the upper bound for vulnerablty of task. Here a t, w t, p cj, D t denote the arrval tme, queung tme, executon tme, and deadlne of task. The optmzaton goal s to fnd a set of cache confguraton assgnments for all tasks so that A hyper-perod s the Least Common Multple (LCM) of all the perods n the task set. The basc dea of usng hyper-perod s that once we fnd a proftable (for energy or vulnerablty) schedule for one hyper-perod, the exactly same schedule can be appled to subsequent hyper-perods. 2 It wll be precse to call n as the total number of jobs as n real-tme system termnology. However, for ease of dscusson, we do not dstngush between tasks and jobs.

4 the total energy consumpton s mnmzed wth vulnerablty and tmng constrants. We choose V t as the vulnerablty of task when s executed wth the base cache, the most proftable cache confguraton decded durng desgn tme. In other words, we set the vulnerablty as a constrant to ensure thas always at least as relable as the base cache. In Equaton 3, arrval tme a t and deadlne D t are known upon the arrval of the task, whle queung tme w t and executon tme p cj depend on the schedulng and cache reconfguraton algorthms. Queung tme w t depends on the scheduler and s determned by the prorty of ths task and the other tasks currently n the queue. Executon tme p cj s determned by the cache confguraton c j whch wll be assgned to ths task by the cache reconfguraton algorthm. C. Heurstc Approach for VAEO Problem Tasks arrve perodcally and each task s nserted nto a lst of ready tasks upon arrval. We propose a heurstc approach, whch employs Earlest Deadlne Frst (EDF) as our underlyng schedulng algorthm. EDF fetches the task wth the hghest prorty (earlest deadlne) to execute. The cache confguraton selecton algorthm wll pck a confguraton for ths task and try to satsfy Equaton 2 and 3 f possble. Our heurstc approach chooses between the VAEO cache confguraton and performance optmal (PO) cache confguraton for ths task. VAEO cache confguraton of a task s the confguraton whch satsfes Equaton 2 and consumes the least energy (.e. the VAEO confguraton) among all possble confguratons. PO cache confguraton of a task s the confguraton whch has the shortest executon tme (.e. the PO confguraton), but PO confguraton mght not satsfy Equaton 2. The ntuton behnd our approach of choosng between PO and VAEO confguraton are as follows: () The VAEO confguraton satsfes the vulnerablty constrann Equaton 2 and s most benefcal for energy savngs, although t mght have long executon tme. We would lke to always choose the VAEO confguraton for energy optmzaton, as long as ths choce would not cause the task tself or any of the subsequent tasks to volate ther deadlnes. (2) The PO confguraton s amed on Equaton 3 for satsfyng tmng constrants. If the VAEO confguraton of a task causes deadlne volatons, we would conservatvely choose the PO confguraton nstead. Wth ths task runnng under the PO confguraton, the subsequent tasks wll have more slack tme for schedulng and possbly save energy. Algorthm llustrates the runtme cache selecton algorthm for VAEO approach. Let us assume that our system uses non-preemptve EDF schedulng for the task set. Tasks arrve perodcally and currently avalable tasks wll be put nto the lst of ready tasks (LRT), whch s mantaned as a prorty queue based on the deadlnes of tasks. Algorthm s called when the processor s ready to execute a new task. The term p P t O stands for the executon tme of task usng ts Algorthm Cache Confguraton Selecton for VAEO Input: Lst of ready tasks (LRT) and task profle table. Output: VAEO or PO cache confguraton. Step : Sort all tasks n LRT by prorty and fetch the task t c wth hghest prorty. Step 2: t to t m are tasks lefn LRT, from hghest to lowest prorty. τ represents the current tme. //***check the schedulablty of each task n LRT***// for j = to m do do f τ + p P O t c + j Dscard task t j end f end for = pp O > D tj then Step 3: Select cache confguraton for current task t c. Let m be the number of tasks n LRT left after Step 2. //***test the feasblty of usng VAEO confg for t c ***// f τ + p V t AEO c > D tc then OK V AEO =false; else OK V AEO =true; for j = to m do f τ + p V t AEO c + j = pp t O > D tj then OK V AEO =false; end f end for end f f OK V AEO ==true then return VAEO confguraton for task t c else return PO confguraton for task t c end f PO confguraton, and p V t AEO usng ts VAEO confguraton. stands for the executon tme Step fetches the current task t c to be executed, whch s the hghest prorty task from LRT. Step 2 checks the schedulablty of the tasks lefn LRT, when the current task t c s executed wth PO cache confguraton. The schedulablty of each task t j lefn the LRT s checked by τ +p P t O c + j = pp t O > D tj, whch tests whether ts deadlne can be met wth the assumpton that all precedng tasks (and tself) use PO cache confguratons. If t j cannot satsfy ts deadlne even wth ths conservatve assumpton, t j should be dscarded. The dscardng process s done from hghest prorty to lowest prorty, so as to acheve fewest dscarded tasks. Ths step ensures that all tasks n LRT wll satsfy ther deadlnes wth ther PO confguratons, when the current task t c s executed wth ts PO confguraton. Ths step wll be skpped f LRT s empty. In Step 3, we try to test the feasblty of usng ts VAEO confguraton for the current task t c, whch wll help mprove vulnerablty and energy consumpton. The approprate cache confguraton for the current task t c s selected by checkng whether s safe to use ts VAEO confguraton. VAEO confguraton s safe, only

5 f no tasks n the LRT wll fal to meet ther deadlnes wth ther PO confguratons. If the VAEO confguraton s not safe for t c, we wll conservatvely execute the current task t c wth ts PO confguraton, whch can ensure all tasks lefn the LRT to satsfy ther deadlnes wth ther PO confguratons (otherwse they would have already been dscarded n Step 2). Ths algorthm runs n tme of O(m) where m s the total number of tasks n LRT. D. Energy-aware Vulnerablty Optmzaton (EAVO) Now we are ready to develop a smlar heurstc approach to solve the EAVO problem, whch has the total vulnerablty of task set as the optmzaton objectve and energy consumpton of tasks as constrants. The EAVO DCR problem can be defned as the followng: n mnmze v cj (4) n = vcj subject to = e cj E t, [, n] (5) a t + w t + p cj D t, [, n] (6) s the total vulnerablty of n tasks. Equaton 5 contans the energy constrants and E t s the upper bound for energy consumpton of task. Equaton 6 contans the tmng constrants, whch s the same as Equaton 3. The optmzaton objectve s to fnd a set of cache confguraton assgnments for all tasks so that the total vulnerablty s mnmzed wthout volatng energy and tmng constrants. We choose E t as the energy consumpton of task when t s executed wth the base cache. In other words, we am to mnmze vulnerablty of task set whle ensurng that tasks can meet ther deadlnes and consume no more energy than the base cache. Smlar to VAEO, our EAVO approach wll choose between the EAVO confguraton and PO confguraton. The cache confguraton selecton algorthm of our EAVO approach s smlar to Algorthm except that all VAEO phrases n Algorthm need to be replaced wth the phrase EAVO. Due to space constrants, we wll not duplcate the algorthm for our EAVO approach. A. Expermental Setup V. EXPERIMENTS The confgurable caches used n our work are from the cache archtecture ntroduced n [4]. The underlyng cache archtecture contans a confgurable cache wth a four-bank cache wth szes of KB, 2 KB and 4 KB, lne szes of 6 bytes, 32 bytes and 64 bytes, and assocatvty of -way, 2-way and 4-way. In order to quantfy relablty-aware DCR trade-off, we selected benchmarks from MedaBench [2] and EEMBC Automotve [3] benchmark sutes. Table shows our four task sets wth three selected benchmarks n each set. All of the tasks are executed wth the defaulnput parameters provded wth the benchmark sutes. The Base Cache 3 s chosen as a 4KB, 2-way set-assocatve cache wth lne sze of 32 bytes and ths base confguraton meets the need of tested benchmarks. Task Task 2 Task 3 Task Set epc* pegwt* cjpeg* Task Set 2 toast* mpeg2* djkstra* Task Set 3 AIFFTR** AIFIRF** A2TIME** Task Set 4 RSPEED** BITMNP** IDCTRN** TABLE I: Task set benchmarks from *MedaBench [2] and **EEMBC [3] We modfed the SmpleScalar smulator [4] for cache vulnerablty analyss and energy consumpton estmaton. We performed the vulnerablty analyss durng cache accesses for each byte n nstructon and data cache. The vulnerablty estmaton functon collects all the vulnerable ntervals for each vald byte n cache. We appled the same energy model as n [4] to calculate both dynamc and statc energy consumpton, and the energy consumpton was estmated usng CACTI 4.2 [5] wth a.8 µm technology. For statc proflng of each task to fnd the PO, VAEO, and EAVO cache confguratons, we developed Perl scrpts to exhaustvely search the desgn space of all possble cache confguratons. Snce we only consder systems wth one level of reconfgurable cache archtecture, the space of possble cache confguratons s small. The statstcs for all possble cache confguratons for a task can be collected n a reasonable tme (a few hours). Once we have the profle tables for all the tasks, we use an EDF scheduler to smulate the system for a hyper-perod. The cache selecton algorthms are ntegrated n the scheduler to make decsons to reconfgure the cache durng smulaton. The optmzaton for nstructon cache and data cache are ndependent. B. Results and Analyss Usng the methodology descrbed n Secton IV, we apply our VAEO and EAVO approaches on each task set. Fg. 4 and Fg. 5 show results for nstructon cache and data cache, respectvely. VAEO approach can mprove both energy and vulnerablty whle EAVO approach can sgnfcantly reduce vulnerablty wth mnor mpact on energy consumpton. There are a few nterestng observatons on our results: () As expected, VAEO approach always consumes less energy, but has hgher vulnerablty than EAVO approach. Ths s algned wth our optmzaton goals: VAEO s for energy optmzaton and EAVO s for vulnerablty optmzaton. (2) VAEO approach mproves vulnerablty as well as energy consumpton. As we mentoned earler, the Base Cache s selected as 496B 2W 32B because ths confguraton wll ensure all tasks to meet ther deadlnes. A large cache sze of 496B can have hgh performance but also cause hgh vulnerablty. So Base Cache s among the cache confguratons wth 3 Base Cache refers to the cache used n typcal real-tme systems, whch s chosen to ensure durable task schedules. Typcally, base cache s the globally optmal cache confguraton determned durng desgn tme for a set of tasks.

6 Energy Normalzed to Base Cache (a) Energy Consumpton of Instructon Cache Energy Normalzed to Base Cache (a) Energy Consumpton of Data Cache Vulnerablty Normalzed to Base Cache (b) Vulnerablty of Instructon Cache Vulnerablty Normalzed to Base Cache (b) Vulnerablty of Data Cache Fg. 4: Instructon cache energy and vulnerablty. VAEO can mprove both energy (9.6%) and vulnerablty (5.8%). EAVO can sgnfcantly reduce vulnerablty (2.9%) wth mnor mpact on energy consumpton (9.7%). hgh vulnerablty and leaves plenty of room for vulnerablty reducton. (3) But EAVO approach may ncrease the energy consumpton. As shown n Secton III, small cache sze and large mss rate tend to have low vulnerablty, whle t usually comes wth a cost of nferor performance and hgh energy consumpton. Moreover, when a task uses ts EAVO cache confguraton has extremely bad performance, t may force the subsequent tasks to choose PO cache confguratons n order to meet ther deadlnes and consume a lot more energy for these tasks. (4) For data cache optmzaton of Task Set, EAVO approach gans nether energy nor vulnerablty mprovement. Thas because EAVO cache confguratons for some tasks have extremely bad performance, whch forces too many subsequent tasks to choose PO cache confguratons and ncrease the vulnerablty for the task set. VI. CONCLUSIONS Dynamc cache reconfguraton s wdely used for mprovng energy and performance n embedded systems. Whle cache vulnerablty s a well studed area, prevous research efforts dd not explore cache vulnerablty n the context of cache reconfguraton. In ths paper, we developed algorthms to reduce cache vulnerablty due to soft errors wth energy and performance consderatons. Our expermental results Fg. 5: Data cache energy and vulnerablty. VAEO can mprove both energy (8%) and vulnerablty (23%). EAVO can sgnfcantly reduce vulnerablty (25.4%) wth mnor mpact on energy consumpton (5.9%) demonstrated that our approach can sgnfcantly mprove the relablty of both nstructon and data caches. REFERENCES [] V. Srdharan and D. Lberty. A Study of DRAM Falures n the Feld. HPCNSA(SC), 22. [2] R. Jeyapaul and A. Shrvastava. Smart Cache Cleanng: Energy effcent vulnerablty reducton n embedded processors. CASES, 2. [3] W. Wang et al. Dynamc Cache Reconfguraton for Soft Real-Tme Systems. TECS, 22. [4] W. Wang et al. Dynamc Reconfguraton n Real-Tme Systems - Energy, Performance, Relablty and Thermal Perspectves. Sprnger, 22. [5] C. Ekeln. Clarvoyant Non-Preemptve EDF Schedulng. ECRTS, 26. [6] P. Hsu and T. Hwang. Thread-crtcalty aware dynamc cache reconfguraton n mult-core system, ICCAD, 23. [7] Y. Ca et al. Cache sze selecton for performance, energy and relablty of tme-constraned systems. ASP-DAC, 26. [8] S. Mukherjee et al. A systematc methodology to compute the archtectural vulnerablty factors for a hgh-performance mcroprocessor. MICRO, 23. [9] V. Srdharan et al. Reducng data cache susceptblty to soft errors. TDSC, 26. [] G. H. Asad et al. Balancng performance and relablty n the memory herarchy. ISPASS, 25. [] A. Bswas et al. Computng archtectural vulnerablty factors for address-based structures. ISCA, 25. [2] C. Lee et al. Medabench: A tool for evaluatng and syntheszng multmeda and communcatons systems. MICRO, 997. [3] EEMBC, The Embedded Mcroprocessor Benchmark Consortum. [4] The SmpleScalar Smulator. [5] CACTI.

The Greedy Method. Outline and Reading. Change Money Problem. Greedy Algorithms. Applications of the Greedy Strategy. The Greedy Method Technique

The Greedy Method. Outline and Reading. Change Money Problem. Greedy Algorithms. Applications of the Greedy Strategy. The Greedy Method Technique //00 :0 AM Outlne and Readng The Greedy Method The Greedy Method Technque (secton.) Fractonal Knapsack Problem (secton..) Task Schedulng (secton..) Mnmum Spannng Trees (secton.) Change Money Problem Greedy

More information

Cache Performance 3/28/17. Agenda. Cache Abstraction and Metrics. Direct-Mapped Cache: Placement and Access

Cache Performance 3/28/17. Agenda. Cache Abstraction and Metrics. Direct-Mapped Cache: Placement and Access Agenda Cache Performance Samra Khan March 28, 217 Revew from last lecture Cache access Assocatvty Replacement Cache Performance Cache Abstracton and Metrcs Address Tag Store (s the address n the cache?

More information

AADL : about scheduling analysis

AADL : about scheduling analysis AADL : about schedulng analyss Schedulng analyss, what s t? Embedded real-tme crtcal systems have temporal constrants to meet (e.g. deadlne). Many systems are bult wth operatng systems provdng multtaskng

More information

Compiler Design. Spring Register Allocation. Sample Exercises and Solutions. Prof. Pedro C. Diniz

Compiler Design. Spring Register Allocation. Sample Exercises and Solutions. Prof. Pedro C. Diniz Compler Desgn Sprng 2014 Regster Allocaton Sample Exercses and Solutons Prof. Pedro C. Dnz USC / Informaton Scences Insttute 4676 Admralty Way, Sute 1001 Marna del Rey, Calforna 90292 pedro@s.edu Regster

More information

Lecture 7 Real Time Task Scheduling. Forrest Brewer

Lecture 7 Real Time Task Scheduling. Forrest Brewer Lecture 7 Real Tme Task Schedulng Forrest Brewer Real Tme ANSI defnes real tme as A Real tme process s a process whch delvers the results of processng n a gven tme span A data may requre processng at a

More information

A Fast Content-Based Multimedia Retrieval Technique Using Compressed Data

A Fast Content-Based Multimedia Retrieval Technique Using Compressed Data A Fast Content-Based Multmeda Retreval Technque Usng Compressed Data Borko Furht and Pornvt Saksobhavvat NSF Multmeda Laboratory Florda Atlantc Unversty, Boca Raton, Florda 3343 ABSTRACT In ths paper,

More information

Configuration Management in Multi-Context Reconfigurable Systems for Simultaneous Performance and Power Optimizations*

Configuration Management in Multi-Context Reconfigurable Systems for Simultaneous Performance and Power Optimizations* Confguraton Management n Mult-Context Reconfgurable Systems for Smultaneous Performance and Power Optmzatons* Rafael Maestre, Mlagros Fernandez Departamento de Arqutectura de Computadores y Automátca Unversdad

More information

Verification by testing

Verification by testing Real-Tme Systems Specfcaton Implementaton System models Executon-tme analyss Verfcaton Verfcaton by testng Dad? How do they know how much weght a brdge can handle? They drve bgger and bgger trucks over

More information

CHAPTER 2 PROPOSED IMPROVED PARTICLE SWARM OPTIMIZATION

CHAPTER 2 PROPOSED IMPROVED PARTICLE SWARM OPTIMIZATION 24 CHAPTER 2 PROPOSED IMPROVED PARTICLE SWARM OPTIMIZATION The present chapter proposes an IPSO approach for multprocessor task schedulng problem wth two classfcatons, namely, statc ndependent tasks and

More information

Maintaining temporal validity of real-time data on non-continuously executing resources

Maintaining temporal validity of real-time data on non-continuously executing resources Mantanng temporal valdty of real-tme data on non-contnuously executng resources Tan Ba, Hong Lu and Juan Yang Hunan Insttute of Scence and Technology, College of Computer Scence, 44, Yueyang, Chna Wuhan

More information

An Efficient Garbage Collection for Flash Memory-Based Virtual Memory Systems

An Efficient Garbage Collection for Flash Memory-Based Virtual Memory Systems S. J and D. Shn: An Effcent Garbage Collecton for Flash Memory-Based Vrtual Memory Systems 2355 An Effcent Garbage Collecton for Flash Memory-Based Vrtual Memory Systems Seunggu J and Dongkun Shn, Member,

More information

Simulation Based Analysis of FAST TCP using OMNET++

Simulation Based Analysis of FAST TCP using OMNET++ Smulaton Based Analyss of FAST TCP usng OMNET++ Umar ul Hassan 04030038@lums.edu.pk Md Term Report CS678 Topcs n Internet Research Sprng, 2006 Introducton Internet traffc s doublng roughly every 3 months

More information

A mathematical programming approach to the analysis, design and scheduling of offshore oilfields

A mathematical programming approach to the analysis, design and scheduling of offshore oilfields 17 th European Symposum on Computer Aded Process Engneerng ESCAPE17 V. Plesu and P.S. Agach (Edtors) 2007 Elsever B.V. All rghts reserved. 1 A mathematcal programmng approach to the analyss, desgn and

More information

A Frame Packing Mechanism Using PDO Communication Service within CANopen

A Frame Packing Mechanism Using PDO Communication Service within CANopen 28 A Frame Packng Mechansm Usng PDO Communcaton Servce wthn CANopen Mnkoo Kang and Kejn Park Dvson of Industral & Informaton Systems Engneerng, Ajou Unversty, Suwon, Gyeongg-do, South Korea Summary The

More information

Dynamic Voltage Scaling of Supply and Body Bias Exploiting Software Runtime Distribution

Dynamic Voltage Scaling of Supply and Body Bias Exploiting Software Runtime Distribution Dynamc Voltage Scalng of Supply and Body Bas Explotng Software Runtme Dstrbuton Sungpack Hong EE Department Stanford Unversty Sungjoo Yoo, Byeong Bn, Kyu-Myung Cho, Soo-Kwan Eo Samsung Electroncs Taehwan

More information

An Investigation into Server Parameter Selection for Hierarchical Fixed Priority Pre-emptive Systems

An Investigation into Server Parameter Selection for Hierarchical Fixed Priority Pre-emptive Systems An Investgaton nto Server Parameter Selecton for Herarchcal Fxed Prorty Pre-emptve Systems R.I. Davs and A. Burns Real-Tme Systems Research Group, Department of omputer Scence, Unversty of York, YO10 5DD,

More information

Real-time Scheduling

Real-time Scheduling Real-tme Schedulng COE718: Embedded System Desgn http://www.ee.ryerson.ca/~courses/coe718/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrcal and Computer Engneerng Ryerson Unversty Overvew RTX

More information

Real-time Fault-tolerant Scheduling Algorithm for Distributed Computing Systems

Real-time Fault-tolerant Scheduling Algorithm for Distributed Computing Systems Real-tme Fault-tolerant Schedulng Algorthm for Dstrbuted Computng Systems Yun Lng, Y Ouyang College of Computer Scence and Informaton Engneerng Zheang Gongshang Unversty Postal code: 310018 P.R.CHINA {ylng,

More information

Load Balancing for Hex-Cell Interconnection Network

Load Balancing for Hex-Cell Interconnection Network Int. J. Communcatons, Network and System Scences,,, - Publshed Onlne Aprl n ScRes. http://www.scrp.org/journal/jcns http://dx.do.org/./jcns.. Load Balancng for Hex-Cell Interconnecton Network Saher Manaseer,

More information

Learning the Kernel Parameters in Kernel Minimum Distance Classifier

Learning the Kernel Parameters in Kernel Minimum Distance Classifier Learnng the Kernel Parameters n Kernel Mnmum Dstance Classfer Daoqang Zhang 1,, Songcan Chen and Zh-Hua Zhou 1* 1 Natonal Laboratory for Novel Software Technology Nanjng Unversty, Nanjng 193, Chna Department

More information

6.854 Advanced Algorithms Petar Maymounkov Problem Set 11 (November 23, 2005) With: Benjamin Rossman, Oren Weimann, and Pouya Kheradpour

6.854 Advanced Algorithms Petar Maymounkov Problem Set 11 (November 23, 2005) With: Benjamin Rossman, Oren Weimann, and Pouya Kheradpour 6.854 Advanced Algorthms Petar Maymounkov Problem Set 11 (November 23, 2005) Wth: Benjamn Rossman, Oren Wemann, and Pouya Kheradpour Problem 1. We reduce vertex cover to MAX-SAT wth weghts, such that the

More information

Parallelism for Nested Loops with Non-uniform and Flow Dependences

Parallelism for Nested Loops with Non-uniform and Flow Dependences Parallelsm for Nested Loops wth Non-unform and Flow Dependences Sam-Jn Jeong Dept. of Informaton & Communcaton Engneerng, Cheonan Unversty, 5, Anseo-dong, Cheonan, Chungnam, 330-80, Korea. seong@cheonan.ac.kr

More information

Distributed Resource Scheduling in Grid Computing Using Fuzzy Approach

Distributed Resource Scheduling in Grid Computing Using Fuzzy Approach Dstrbuted Resource Schedulng n Grd Computng Usng Fuzzy Approach Shahram Amn, Mohammad Ahmad Computer Engneerng Department Islamc Azad Unversty branch Mahallat, Iran Islamc Azad Unversty branch khomen,

More information

An Online Delay Efficient Multi-Class Packet Scheduler for Heterogeneous M2M Uplink Traffic

An Online Delay Efficient Multi-Class Packet Scheduler for Heterogeneous M2M Uplink Traffic An Onlne Delay Effcent Mult-Class Packet Scheduler for Heterogeneous M2M Uplnk Traffc Akshay Kumar, Ahmed Abdelhad and Charles Clancy Hume Center, Vrgna Tech Emal:{akshay2, aabdelhad, tcc}@vt.edu Abstract

More information

An Entropy-Based Approach to Integrated Information Needs Assessment

An Entropy-Based Approach to Integrated Information Needs Assessment Dstrbuton Statement A: Approved for publc release; dstrbuton s unlmted. An Entropy-Based Approach to ntegrated nformaton Needs Assessment June 8, 2004 Wllam J. Farrell Lockheed Martn Advanced Technology

More information

The Codesign Challenge

The Codesign Challenge ECE 4530 Codesgn Challenge Fall 2007 Hardware/Software Codesgn The Codesgn Challenge Objectves In the codesgn challenge, your task s to accelerate a gven software reference mplementaton as fast as possble.

More information

ELEC 377 Operating Systems. Week 6 Class 3

ELEC 377 Operating Systems. Week 6 Class 3 ELEC 377 Operatng Systems Week 6 Class 3 Last Class Memory Management Memory Pagng Pagng Structure ELEC 377 Operatng Systems Today Pagng Szes Vrtual Memory Concept Demand Pagng ELEC 377 Operatng Systems

More information

Mixed-Criticality Scheduling on Multiprocessors using Task Grouping

Mixed-Criticality Scheduling on Multiprocessors using Task Grouping Mxed-Crtcalty Schedulng on Multprocessors usng Task Groupng Jankang Ren Lnh Th Xuan Phan School of Software Technology, Dalan Unversty of Technology, Chna Computer and Informaton Scence Department, Unversty

More information

Determining the Optimal Bandwidth Based on Multi-criterion Fusion

Determining the Optimal Bandwidth Based on Multi-criterion Fusion Proceedngs of 01 4th Internatonal Conference on Machne Learnng and Computng IPCSIT vol. 5 (01) (01) IACSIT Press, Sngapore Determnng the Optmal Bandwdth Based on Mult-crteron Fuson Ha-L Lang 1+, Xan-Mn

More information

Real-Time Systems. Real-Time Systems. Verification by testing. Verification by testing

Real-Time Systems. Real-Time Systems. Verification by testing. Verification by testing EDA222/DIT161 Real-Tme Systems, Chalmers/GU, 2014/2015 Lecture #8 Real-Tme Systems Real-Tme Systems Lecture #8 Specfcaton Professor Jan Jonsson Implementaton System models Executon-tme analyss Department

More information

Motivation. EE 457 Unit 4. Throughput vs. Latency. Performance Depends on View Point?! Computer System Performance. An individual user wants to:

Motivation. EE 457 Unit 4. Throughput vs. Latency. Performance Depends on View Point?! Computer System Performance. An individual user wants to: 4.1 4.2 Motvaton EE 457 Unt 4 Computer System Performance An ndvdual user wants to: Mnmze sngle program executon tme A datacenter owner wants to: Maxmze number of Mnmze ( ) http://e-tellgentnternetmarketng.com/webste/frustrated-computer-user-2/

More information

Virtual Memory. Background. No. 10. Virtual Memory: concept. Logical Memory Space (review) Demand Paging(1) Virtual Memory

Virtual Memory. Background. No. 10. Virtual Memory: concept. Logical Memory Space (review) Demand Paging(1) Virtual Memory Background EECS. Operatng System Fundamentals No. Vrtual Memory Prof. Hu Jang Department of Electrcal Engneerng and Computer Scence, York Unversty Memory-management methods normally requres the entre process

More information

Efficient Distributed File System (EDFS)

Efficient Distributed File System (EDFS) Effcent Dstrbuted Fle System (EDFS) (Sem-Centralzed) Debessay(Debsh) Fesehaye, Rahul Malk & Klara Naherstedt Unversty of Illnos-Urbana Champagn Contents Problem Statement, Related Work, EDFS Desgn Rate

More information

Comparison of Heuristics for Scheduling Independent Tasks on Heterogeneous Distributed Environments

Comparison of Heuristics for Scheduling Independent Tasks on Heterogeneous Distributed Environments Comparson of Heurstcs for Schedulng Independent Tasks on Heterogeneous Dstrbuted Envronments Hesam Izakan¹, Ath Abraham², Senor Member, IEEE, Václav Snášel³ ¹ Islamc Azad Unversty, Ramsar Branch, Ramsar,

More information

Routing in Degree-constrained FSO Mesh Networks

Routing in Degree-constrained FSO Mesh Networks Internatonal Journal of Hybrd Informaton Technology Vol., No., Aprl, 009 Routng n Degree-constraned FSO Mesh Networks Zpng Hu, Pramode Verma, and James Sluss Jr. School of Electrcal & Computer Engneerng

More information

A QoS-aware Scheduling Scheme for Software-Defined Storage Oriented iscsi Target

A QoS-aware Scheduling Scheme for Software-Defined Storage Oriented iscsi Target A QoS-aware Schedulng Scheme for Software-Defned Storage Orented SCSI Target Xanghu Meng 1,2, Xuewen Zeng 1, Xao Chen 1, Xaozhou Ye 1,* 1 Natonal Network New Meda Engneerng Research Center, Insttute of

More information

Virtual Machine Migration based on Trust Measurement of Computer Node

Virtual Machine Migration based on Trust Measurement of Computer Node Appled Mechancs and Materals Onlne: 2014-04-04 ISSN: 1662-7482, Vols. 536-537, pp 678-682 do:10.4028/www.scentfc.net/amm.536-537.678 2014 Trans Tech Publcatons, Swtzerland Vrtual Machne Mgraton based on

More information

Improvement of Spatial Resolution Using BlockMatching Based Motion Estimation and Frame. Integration

Improvement of Spatial Resolution Using BlockMatching Based Motion Estimation and Frame. Integration Improvement of Spatal Resoluton Usng BlockMatchng Based Moton Estmaton and Frame Integraton Danya Suga and Takayuk Hamamoto Graduate School of Engneerng, Tokyo Unversty of Scence, 6-3-1, Nuku, Katsuska-ku,

More information

A Binarization Algorithm specialized on Document Images and Photos

A Binarization Algorithm specialized on Document Images and Photos A Bnarzaton Algorthm specalzed on Document mages and Photos Ergna Kavalleratou Dept. of nformaton and Communcaton Systems Engneerng Unversty of the Aegean kavalleratou@aegean.gr Abstract n ths paper, a

More information

Priority-Based Scheduling Algorithm for Downlink Traffics in IEEE Networks

Priority-Based Scheduling Algorithm for Downlink Traffics in IEEE Networks Prorty-Based Schedulng Algorthm for Downlnk Traffcs n IEEE 80.6 Networks Ja-Mng Lang, Jen-Jee Chen, You-Chun Wang, Yu-Chee Tseng, and Bao-Shuh P. Ln Department of Computer Scence Natonal Chao-Tung Unversty,

More information

Problem Definitions and Evaluation Criteria for Computational Expensive Optimization

Problem Definitions and Evaluation Criteria for Computational Expensive Optimization Problem efntons and Evaluaton Crtera for Computatonal Expensve Optmzaton B. Lu 1, Q. Chen and Q. Zhang 3, J. J. Lang 4, P. N. Suganthan, B. Y. Qu 6 1 epartment of Computng, Glyndwr Unversty, UK Faclty

More information

Design and Implementation of an Energy Efficient Multimedia Playback System

Design and Implementation of an Energy Efficient Multimedia Playback System Desgn and Implementaton of an Energy Effcent Multmeda Playback System Zhjan Lu, John Lach, Mrcea Stan, Kevn Skadron, Departments of Electrcal and Computer Engneerng and Computer Scence, Unversty of Vrgna

More information

Outline. Digital Systems. C.2: Gates, Truth Tables and Logic Equations. Truth Tables. Logic Gates 9/8/2011

Outline. Digital Systems. C.2: Gates, Truth Tables and Logic Equations. Truth Tables. Logic Gates 9/8/2011 9/8/2 2 Outlne Appendx C: The Bascs of Logc Desgn TDT4255 Computer Desgn Case Study: TDT4255 Communcaton Module Lecture 2 Magnus Jahre 3 4 Dgtal Systems C.2: Gates, Truth Tables and Logc Equatons All sgnals

More information

NUMERICAL SOLVING OPTIMAL CONTROL PROBLEMS BY THE METHOD OF VARIATIONS

NUMERICAL SOLVING OPTIMAL CONTROL PROBLEMS BY THE METHOD OF VARIATIONS ARPN Journal of Engneerng and Appled Scences 006-017 Asan Research Publshng Network (ARPN). All rghts reserved. NUMERICAL SOLVING OPTIMAL CONTROL PROBLEMS BY THE METHOD OF VARIATIONS Igor Grgoryev, Svetlana

More information

Technical Report. i-game: An Implicit GTS Allocation Mechanism in IEEE for Time- Sensitive Wireless Sensor Networks

Technical Report. i-game: An Implicit GTS Allocation Mechanism in IEEE for Time- Sensitive Wireless Sensor Networks www.hurray.sep.pp.pt Techncal Report -GAME: An Implct GTS Allocaton Mechansm n IEEE 802.15.4 for Tme- Senstve Wreless Sensor etworks Ans Koubaa Máro Alves Eduardo Tovar TR-060706 Verson: 1.0 Date: Jul

More information

DESIGNING TRANSMISSION SCHEDULES FOR WIRELESS AD HOC NETWORKS TO MAXIMIZE NETWORK THROUGHPUT

DESIGNING TRANSMISSION SCHEDULES FOR WIRELESS AD HOC NETWORKS TO MAXIMIZE NETWORK THROUGHPUT DESIGNING TRANSMISSION SCHEDULES FOR WIRELESS AD HOC NETWORKS TO MAXIMIZE NETWORK THROUGHPUT Bran J. Wolf, Joseph L. Hammond, and Harlan B. Russell Dept. of Electrcal and Computer Engneerng, Clemson Unversty,

More information

Resource and Virtual Function Status Monitoring in Network Function Virtualization Environment

Resource and Virtual Function Status Monitoring in Network Function Virtualization Environment Journal of Physcs: Conference Seres PAPER OPEN ACCESS Resource and Vrtual Functon Status Montorng n Network Functon Vrtualzaton Envronment To cte ths artcle: MS Ha et al 2018 J. Phys.: Conf. Ser. 1087

More information

Course Introduction. Algorithm 8/31/2017. COSC 320 Advanced Data Structures and Algorithms. COSC 320 Advanced Data Structures and Algorithms

Course Introduction. Algorithm 8/31/2017. COSC 320 Advanced Data Structures and Algorithms. COSC 320 Advanced Data Structures and Algorithms Course Introducton Course Topcs Exams, abs, Proects A quc loo at a few algorthms 1 Advanced Data Structures and Algorthms Descrpton: We are gong to dscuss algorthm complexty analyss, algorthm desgn technques

More information

PASSENGER FLOW ANALYSIS FOR TRAIN RESCHEDULING AND ITS EVALUTION

PASSENGER FLOW ANALYSIS FOR TRAIN RESCHEDULING AND ITS EVALUTION * * * Internatonal Symposum on Speed-up, Safety and Servce Technology for Ralway and Maglev Systems 009 (STECH 09) 009.6.6-9 Ngata JAAN ASSENGER FOW ANAYSIS FOR TRAIN RESCHEDUING AND ITS EVAUTION Shunch

More information

Tolerating Transient Faults in Statically Scheduled Safety-Critical Embedded Systems

Tolerating Transient Faults in Statically Scheduled Safety-Critical Embedded Systems Toleratng Transent Faults n Statcally Scheduled Safety-Crtcal Embedded Systems Nagaraan Kandasamy *, John P. Hayes *, and Bran T. Murray ** * Department of Electrcal Engneerng ** Delph Automotve Systems

More information

Application of Improved Fish Swarm Algorithm in Cloud Computing Resource Scheduling

Application of Improved Fish Swarm Algorithm in Cloud Computing Resource Scheduling , pp.40-45 http://dx.do.org/10.14257/astl.2017.143.08 Applcaton of Improved Fsh Swarm Algorthm n Cloud Computng Resource Schedulng Yu Lu, Fangtao Lu School of Informaton Engneerng, Chongqng Vocatonal Insttute

More information

Real-Time Guarantees. Traffic Characteristics. Flow Control

Real-Time Guarantees. Traffic Characteristics. Flow Control Real-Tme Guarantees Requrements on RT communcaton protocols: delay (response s) small jtter small throughput hgh error detecton at recever (and sender) small error detecton latency no thrashng under peak

More information

Feature Reduction and Selection

Feature Reduction and Selection Feature Reducton and Selecton Dr. Shuang LIANG School of Software Engneerng TongJ Unversty Fall, 2012 Today s Topcs Introducton Problems of Dmensonalty Feature Reducton Statstc methods Prncpal Components

More information

CS 268: Lecture 8 Router Support for Congestion Control

CS 268: Lecture 8 Router Support for Congestion Control CS 268: Lecture 8 Router Support for Congeston Control Ion Stoca Computer Scence Dvson Department of Electrcal Engneerng and Computer Scences Unversty of Calforna, Berkeley Berkeley, CA 9472-1776 Router

More information

Space-Optimal, Wait-Free Real-Time Synchronization

Space-Optimal, Wait-Free Real-Time Synchronization 1 Space-Optmal, Wat-Free Real-Tme Synchronzaton Hyeonjoong Cho, Bnoy Ravndran ECE Dept., Vrgna Tech Blacksburg, VA 24061, USA {hjcho,bnoy}@vt.edu E. Douglas Jensen The MITRE Corporaton Bedford, MA 01730,

More information

Assembler. Building a Modern Computer From First Principles.

Assembler. Building a Modern Computer From First Principles. Assembler Buldng a Modern Computer From Frst Prncples www.nand2tetrs.org Elements of Computng Systems, Nsan & Schocken, MIT Press, www.nand2tetrs.org, Chapter 6: Assembler slde Where we are at: Human Thought

More information

Adaptive Resource Allocation Control with On-Line Search for Fair QoS Level

Adaptive Resource Allocation Control with On-Line Search for Fair QoS Level Adaptve Resource Allocaton Control wth On-Lne Search for Far QoS Level Fumko Harada, Toshmtsu Usho, Graduate School of Engneerng Scence Osaka Unversty {harada@hopf, usho@}sysesosaka-uacjp Yukkazu akamoto

More information

Channel 0. Channel 1 Channel 2. Channel 3 Channel 4. Channel 5 Channel 6 Channel 7

Channel 0. Channel 1 Channel 2. Channel 3 Channel 4. Channel 5 Channel 6 Channel 7 Optmzed Regonal Cachng for On-Demand Data Delvery Derek L. Eager Mchael C. Ferrs Mary K. Vernon Unversty of Saskatchewan Unversty of Wsconsn Madson Saskatoon, SK Canada S7N 5A9 Madson, WI 5376 eager@cs.usask.ca

More information

An Application of the Dulmage-Mendelsohn Decomposition to Sparse Null Space Bases of Full Row Rank Matrices

An Application of the Dulmage-Mendelsohn Decomposition to Sparse Null Space Bases of Full Row Rank Matrices Internatonal Mathematcal Forum, Vol 7, 2012, no 52, 2549-2554 An Applcaton of the Dulmage-Mendelsohn Decomposton to Sparse Null Space Bases of Full Row Rank Matrces Mostafa Khorramzadeh Department of Mathematcal

More information

Multitasking and Real-time Scheduling

Multitasking and Real-time Scheduling Multtaskng and Real-tme Schedulng EE8205: Embedded Computer Systems http://www.ee.ryerson.ca/~courses/ee8205/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrcal and Computer Engneerng Ryerson Unversty

More information

A Generic and Compositional Framework for Multicore Response Time Analysis

A Generic and Compositional Framework for Multicore Response Time Analysis A Generc and Compostonal Framework for Multcore Response Tme Analyss Sebastan Altmeyer Unversty of Luxembourg Unversty of Amsterdam Clare Maza Grenoble INP Vermag Robert I. Davs Unversty of York INRIA,

More information

Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted from Hennessy & Patterson / 2003 Elsevier

Some material adapted from Mohamed Younis, UMBC CMSC 611 Spr 2003 course slides Some material adapted from Hennessy & Patterson / 2003 Elsevier Some materal adapted from Mohamed Youns, UMBC CMSC 611 Spr 2003 course sldes Some materal adapted from Hennessy & Patterson / 2003 Elsever Scence Performance = 1 Executon tme Speedup = Performance (B)

More information

For instance, ; the five basic number-sets are increasingly more n A B & B A A = B (1)

For instance, ; the five basic number-sets are increasingly more n A B & B A A = B (1) Secton 1.2 Subsets and the Boolean operatons on sets If every element of the set A s an element of the set B, we say that A s a subset of B, or that A s contaned n B, or that B contans A, and we wrte A

More information

Video Proxy System for a Large-scale VOD System (DINA)

Video Proxy System for a Large-scale VOD System (DINA) Vdeo Proxy System for a Large-scale VOD System (DINA) KWUN-CHUNG CHAN #, KWOK-WAI CHEUNG *# #Department of Informaton Engneerng *Centre of Innovaton and Technology The Chnese Unversty of Hong Kong SHATIN,

More information

SAO: A Stream Index for Answering Linear Optimization Queries

SAO: A Stream Index for Answering Linear Optimization Queries SAO: A Stream Index for Answerng near Optmzaton Queres Gang uo Kun-ung Wu Phlp S. Yu IBM T.J. Watson Research Center {luog, klwu, psyu}@us.bm.com Abstract near optmzaton queres retreve the top-k tuples

More information

Parallel matrix-vector multiplication

Parallel matrix-vector multiplication Appendx A Parallel matrx-vector multplcaton The reduced transton matrx of the three-dmensonal cage model for gel electrophoress, descrbed n secton 3.2, becomes excessvely large for polymer lengths more

More information

Quality Improvement Algorithm for Tetrahedral Mesh Based on Optimal Delaunay Triangulation

Quality Improvement Algorithm for Tetrahedral Mesh Based on Optimal Delaunay Triangulation Intellgent Informaton Management, 013, 5, 191-195 Publshed Onlne November 013 (http://www.scrp.org/journal/m) http://dx.do.org/10.36/m.013.5601 Qualty Improvement Algorthm for Tetrahedral Mesh Based on

More information

Support Vector Machines

Support Vector Machines /9/207 MIST.6060 Busness Intellgence and Data Mnng What are Support Vector Machnes? Support Vector Machnes Support Vector Machnes (SVMs) are supervsed learnng technques that analyze data and recognze patterns.

More information

Fibre-Optic AWG-based Real-Time Networks

Fibre-Optic AWG-based Real-Time Networks Fbre-Optc AWG-based Real-Tme Networks Krstna Kunert, Annette Böhm, Magnus Jonsson, School of Informaton Scence, Computer and Electrcal Engneerng, Halmstad Unversty {Magnus.Jonsson, Krstna.Kunert}@de.hh.se

More information

Conditional Speculative Decimal Addition*

Conditional Speculative Decimal Addition* Condtonal Speculatve Decmal Addton Alvaro Vazquez and Elsardo Antelo Dep. of Electronc and Computer Engneerng Unv. of Santago de Compostela, Span Ths work was supported n part by Xunta de Galca under grant

More information

Load-Balanced Anycast Routing

Load-Balanced Anycast Routing Load-Balanced Anycast Routng Chng-Yu Ln, Jung-Hua Lo, and Sy-Yen Kuo Department of Electrcal Engneerng atonal Tawan Unversty, Tape, Tawan sykuo@cc.ee.ntu.edu.tw Abstract For fault-tolerance and load-balance

More information

Helsinki University Of Technology, Systems Analysis Laboratory Mat Independent research projects in applied mathematics (3 cr)

Helsinki University Of Technology, Systems Analysis Laboratory Mat Independent research projects in applied mathematics (3 cr) Helsnk Unversty Of Technology, Systems Analyss Laboratory Mat-2.08 Independent research projects n appled mathematcs (3 cr) "! #$&% Antt Laukkanen 506 R ajlaukka@cc.hut.f 2 Introducton...3 2 Multattrbute

More information

Non-Split Restrained Dominating Set of an Interval Graph Using an Algorithm

Non-Split Restrained Dominating Set of an Interval Graph Using an Algorithm Internatonal Journal of Advancements n Research & Technology, Volume, Issue, July- ISS - on-splt Restraned Domnatng Set of an Interval Graph Usng an Algorthm ABSTRACT Dr.A.Sudhakaraah *, E. Gnana Deepka,

More information

An Optimal Algorithm for Prufer Codes *

An Optimal Algorithm for Prufer Codes * J. Software Engneerng & Applcatons, 2009, 2: 111-115 do:10.4236/jsea.2009.22016 Publshed Onlne July 2009 (www.scrp.org/journal/jsea) An Optmal Algorthm for Prufer Codes * Xaodong Wang 1, 2, Le Wang 3,

More information

High-Level Power Modeling of CPLDs and FPGAs

High-Level Power Modeling of CPLDs and FPGAs Hgh-Level Power Modelng of CPLs and FPGAs L Shang and Nraj K. Jha epartment of Electrcal Engneerng Prnceton Unversty {lshang, jha}@ee.prnceton.edu Abstract In ths paper, we present a hgh-level power modelng

More information

A Novel Fault Tolerant Scheduling Technique In Real-Time Heterogeneous Distributed Systems Using Distributed Recovery Block

A Novel Fault Tolerant Scheduling Technique In Real-Time Heterogeneous Distributed Systems Using Distributed Recovery Block Proceedngs of Natonal Conference VISION 07 on Hgh Performance Computng 2 nd Aprl 07 Government College of Engneerng, Department of CSE, Trunelvel, Taml Nadu, INDIA A Novel Fault Tolerant Schedulng Technque

More information

CACHE MEMORY DESIGN FOR INTERNET PROCESSORS

CACHE MEMORY DESIGN FOR INTERNET PROCESSORS CACHE MEMORY DESIGN FOR INTERNET PROCESSORS WE EVALUATE A SERIES OF THREE PROGRESSIVELY MORE AGGRESSIVE ROUTING-TABLE CACHE DESIGNS AND DEMONSTRATE THAT THE INCORPORATION OF HARDWARE CACHES INTO INTERNET

More information

5 The Primal-Dual Method

5 The Primal-Dual Method 5 The Prmal-Dual Method Orgnally desgned as a method for solvng lnear programs, where t reduces weghted optmzaton problems to smpler combnatoral ones, the prmal-dual method (PDM) has receved much attenton

More information

Greedy Technique - Definition

Greedy Technique - Definition Greedy Technque Greedy Technque - Defnton The greedy method s a general algorthm desgn paradgm, bult on the follong elements: confguratons: dfferent choces, collectons, or values to fnd objectve functon:

More information

Network Coding as a Dynamical System

Network Coding as a Dynamical System Network Codng as a Dynamcal System Narayan B. Mandayam IEEE Dstngushed Lecture (jont work wth Dan Zhang and a Su) Department of Electrcal and Computer Engneerng Rutgers Unversty Outlne. Introducton 2.

More information

Improving Low Density Parity Check Codes Over the Erasure Channel. The Nelder Mead Downhill Simplex Method. Scott Stransky

Improving Low Density Parity Check Codes Over the Erasure Channel. The Nelder Mead Downhill Simplex Method. Scott Stransky Improvng Low Densty Party Check Codes Over the Erasure Channel The Nelder Mead Downhll Smplex Method Scott Stransky Programmng n conjuncton wth: Bors Cukalovc 18.413 Fnal Project Sprng 2004 Page 1 Abstract

More information

1632 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 11, NOVEMBER 2014

1632 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 11, NOVEMBER 2014 1632 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 11, NOVEMBER 2014 Optmzng the NoC Slack Through Voltage and Frequency Scalng n Hard Real-Tme Embedded Systems

More information

arxiv: v3 [cs.ds] 7 Feb 2017

arxiv: v3 [cs.ds] 7 Feb 2017 : A Two-stage Sketch for Data Streams Tong Yang 1, Lngtong Lu 2, Ybo Yan 1, Muhammad Shahzad 3, Yulong Shen 2 Xaomng L 1, Bn Cu 1, Gaogang Xe 4 1 Pekng Unversty, Chna. 2 Xdan Unversty, Chna. 3 North Carolna

More information

Wishing you all a Total Quality New Year!

Wishing you all a Total Quality New Year! Total Qualty Management and Sx Sgma Post Graduate Program 214-15 Sesson 4 Vnay Kumar Kalakband Assstant Professor Operatons & Systems Area 1 Wshng you all a Total Qualty New Year! Hope you acheve Sx sgma

More information

S1 Note. Basis functions.

S1 Note. Basis functions. S1 Note. Bass functons. Contents Types of bass functons...1 The Fourer bass...2 B-splne bass...3 Power and type I error rates wth dfferent numbers of bass functons...4 Table S1. Smulaton results of type

More information

Meta-heuristics for Multidimensional Knapsack Problems

Meta-heuristics for Multidimensional Knapsack Problems 2012 4th Internatonal Conference on Computer Research and Development IPCSIT vol.39 (2012) (2012) IACSIT Press, Sngapore Meta-heurstcs for Multdmensonal Knapsack Problems Zhbao Man + Computer Scence Department,

More information

Can We Beat the Prefix Filtering? An Adaptive Framework for Similarity Join and Search

Can We Beat the Prefix Filtering? An Adaptive Framework for Similarity Join and Search Can We Beat the Prefx Flterng? An Adaptve Framework for Smlarty Jon and Search Jannan Wang Guolang L Janhua Feng Department of Computer Scence and Technology, Tsnghua Natonal Laboratory for Informaton

More information

Some Advanced SPC Tools 1. Cumulative Sum Control (Cusum) Chart For the data shown in Table 9-1, the x chart can be generated.

Some Advanced SPC Tools 1. Cumulative Sum Control (Cusum) Chart For the data shown in Table 9-1, the x chart can be generated. Some Advanced SP Tools 1. umulatve Sum ontrol (usum) hart For the data shown n Table 9-1, the x chart can be generated. However, the shft taken place at sample #21 s not apparent. 92 For ths set samples,

More information

NAG Fortran Library Chapter Introduction. G10 Smoothing in Statistics

NAG Fortran Library Chapter Introduction. G10 Smoothing in Statistics Introducton G10 NAG Fortran Lbrary Chapter Introducton G10 Smoothng n Statstcs Contents 1 Scope of the Chapter... 2 2 Background to the Problems... 2 2.1 Smoothng Methods... 2 2.2 Smoothng Splnes and Regresson

More information

2x x l. Module 3: Element Properties Lecture 4: Lagrange and Serendipity Elements

2x x l. Module 3: Element Properties Lecture 4: Lagrange and Serendipity Elements Module 3: Element Propertes Lecture : Lagrange and Serendpty Elements 5 In last lecture note, the nterpolaton functons are derved on the bass of assumed polynomal from Pascal s trangle for the fled varable.

More information

Bounding DMA Interference on Hard-Real-Time Embedded Systems *

Bounding DMA Interference on Hard-Real-Time Embedded Systems * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 22, 1229-1247 (2006) Boundng DMA Interference on Hard-Real-Tme Embedded Systems * TAI-YI HUANG, CHIH-CHIEH CHOU AND PO-YUAN CHEN Department of Computer Scence

More information

Mathematics 256 a course in differential equations for engineering students

Mathematics 256 a course in differential equations for engineering students Mathematcs 56 a course n dfferental equatons for engneerng students Chapter 5. More effcent methods of numercal soluton Euler s method s qute neffcent. Because the error s essentally proportonal to the

More information

Dong Wang, Tarek Abdelzaher, Bodhi Priyantha, Jie Liu & Feng Zhao

Dong Wang, Tarek Abdelzaher, Bodhi Priyantha, Jie Liu & Feng Zhao Energy-optmal Batchng perods for asynchronous multstage data processng on sensor nodes: foundatons and an mplatform case study Dong Wang, Tarek Abdelzaher, Bodh Pryantha, Je Lu & Feng Zhao Real-Tme Systems

More information

Petri Net Based Software Dependability Engineering

Petri Net Based Software Dependability Engineering Proc. RELECTRONIC 95, Budapest, pp. 181-186; October 1995 Petr Net Based Software Dependablty Engneerng Monka Hener Brandenburg Unversty of Technology Cottbus Computer Scence Insttute Postbox 101344 D-03013

More information

Subspace clustering. Clustering. Fundamental to all clustering techniques is the choice of distance measure between data points;

Subspace clustering. Clustering. Fundamental to all clustering techniques is the choice of distance measure between data points; Subspace clusterng Clusterng Fundamental to all clusterng technques s the choce of dstance measure between data ponts; D q ( ) ( ) 2 x x = x x, j k = 1 k jk Squared Eucldean dstance Assumpton: All features

More information

A Background Subtraction for a Vision-based User Interface *

A Background Subtraction for a Vision-based User Interface * A Background Subtracton for a Vson-based User Interface * Dongpyo Hong and Woontack Woo KJIST U-VR Lab. {dhon wwoo}@kjst.ac.kr Abstract In ths paper, we propose a robust and effcent background subtracton

More information

Gradual Relaxation Techniques with Applications to Behavioral Synthesis *

Gradual Relaxation Techniques with Applications to Behavioral Synthesis * Gradual Relaxaton Technques wth Applcatons to Behavoral Synthess * Zhru Zhang, Ypng Fan, Modrag Potkonjak, Jason Cong Computer Scence Department, Unversty of Calforna, Los Angeles Los Angeles, CA 90095,

More information

Constructing Minimum Connected Dominating Set: Algorithmic approach

Constructing Minimum Connected Dominating Set: Algorithmic approach Constructng Mnmum Connected Domnatng Set: Algorthmc approach G.N. Puroht and Usha Sharma Centre for Mathematcal Scences, Banasthal Unversty, Rajasthan 304022 usha.sharma94@yahoo.com Abstract: Connected

More information

Run-Time Operator State Spilling for Memory Intensive Long-Running Queries

Run-Time Operator State Spilling for Memory Intensive Long-Running Queries Run-Tme Operator State Spllng for Memory Intensve Long-Runnng Queres Bn Lu, Yal Zhu, and lke A. Rundenstener epartment of Computer Scence, Worcester Polytechnc Insttute Worcester, Massachusetts, USA {bnlu,

More information

THere are increasing interests and use of mobile ad hoc

THere are increasing interests and use of mobile ad hoc 1 Adaptve Schedulng n MIMO-based Heterogeneous Ad hoc Networks Shan Chu, Xn Wang Member, IEEE, and Yuanyuan Yang Fellow, IEEE. Abstract The demands for data rate and transmsson relablty constantly ncrease

More information