A RECONFIGURABLE BASEBAND FOR 2.5G/3G AND BEYOND

Size: px
Start display at page:

Download "A RECONFIGURABLE BASEBAND FOR 2.5G/3G AND BEYOND"

Transcription

1 A RECONFIGURABLE BASEBAND FOR 2.5G/3G AND BEYOND John Glossner, Daniel Iancu, Erdem Hokenek, and Mayan Moudgill Sandbridge Technologies, Inc. White Plains, NY ABSTRACT We describe a reconfigurable baseband platform capable of processing WCDMA FDD 2Mbps, b, GPS, and GSM/GPRS communications systems in real-time without requiring special purpose hardware accelerators. In addition, the processor may also perform multimedia functions and Java acceleration without special purpose hardware. Processing is performed completely in software and executes in real-time on the SB9600 baseband platform. INTRODUCTION From the end-user point of view, a modern communications device has a color screen, a keyboard, an antenna, audio, and video. All these features require high computing capability at low power consumption. Adding new features requires adding computing capability. Traditional communications systems have typically been implemented using custom hardware solutions. Chip rate, symbol rate, and bit rate co-processors are often coordinated by programmable DSPs but the DSP processor does not typically participate in computationally intensive tasks. Even with a single communication system the hardware development cycle is onerous often requiring multiple chip redesigns late into the certification process. When multiple communications systems requirements are considered, both silicon area and design validation are major inhibitors to commercial success. A software-based platform capable of dynamically reconfiguring communications systems enables elegant reuse of silicon area and dramatically reduces time to market through software modifications instead of time consuming hardware redesigns. Digital Signal Processors (DSPs) are now capable of executing many billions of operations per second at power efficiency levels appropriate for handset deployment. This has brought Software Defined Radio (SDR) to prominence and addresses a difficult portion of SDR implementation. The advantages of a reconfigurable SDR solution versus hardware solutions are significant. First, reconfigurable solutions are more flexible allowing multiple communication protocols to dynamically execute on the same transistors thereby reducing hardware costs. Specific functions such as filters, modulation schemes, encoders/decoders etc., can be reconfigured adaptively at run time. Second, several communication protocols can be efficiently stored in memory and coexist or execute concurrently. This significantly reduces the cost of the system for both the end user and the service provider. Third, remotely reconfigurable protocols provide simple and inexpensive software version control and feature upgrades. This allows service providers to differentiate products after the product is deployed. Fourth, the development time of new and existing communications protocols is significantly reduced providing an accelerated time to market. Development cycles are not limited by long and laborious hardware design cycles. With SDR, new protocols are quickly added as soon as the software is available for deployment. Fifth, SDR provides an attractive method of dealing with new standards releases while assuring backward compatibility with existing standards. COMMUNICATIONS SYSTEM DESIGN Implementing a third generation wireless technology (3G) design is up to ten times more complex than previous 2/2.5G designs [1][2]. Furthermore, modern communications devices will be required to connect to networks with multiple protocols. A factorial combination of choices presents intractable chip implementations. To facilitate successful system-on-a-chip (SoC) designs requires new approaches to communications systems implementation.

2 PN BT#1 PN BT#2 PN BT#3 CRC attachment TrBk concatenation / Code block segmentation RAKE Searcher De-Scrambler Measurements: SIR MRC Channel coding Path Table Building RSCP ISCP Radio framequalization Timing Management Ec/Io 1 st interleaving Radio frame segmentation Rate matching Rate matching FILTER De- Scramble Channel Est/Derot TrCHMultiplexing 4 Path 3Path DSCH Path 2 S-CCPCH DPCH 4 Path 3Path Path 2 Path 1 Path 1 Physical channel Segmentation 2 nd interleaving Multi Channel Code 2 nd Deinterleaver De-Mux Physical channel mapping Spreading/Scrambling Further Processing 1 nd Deinterleaver Channel Decoding Filter Figure 1. WCDMA Transmission System Available communications design typically contain an ARM processor, a DSP, and many hardware blocks for communications processing (e.g. WCDMA, CMDA-2k, IS-95, GSM, Bluetooth, etc.) and this is just for the modem. Multimedia terminals typically include an additional ARM, an additional DSP, and additional hardware accelerators for multimedia functions. Choosing the proper combination of accelerators poses both implementation challenges and time-to-market constraints. Sandbridge Technologies has chosen to develop a reconfigurable software platform that does not require any additional accelerators for either modem nor multimedia processing. An ARM applications processor is available to run an operating system but the Sandbridge platform replaces all other block with a software approach. The Sandbridge communications design approach begins with Matlab models of both the basestation and the terminal. Within Matlab we implement all conformance testing necessary to ensure that our algorithms perform properly. We then code in high-level ANSI C and use our sophisticated tool chain to immediately produce production executable code. Figure 1 shows the major blocks for both a transmitter and receiver for the UMTS WCDMA FDDmode communication system [1][4]. We choose to focus on WCDMA because it is computationally intensive with tight constraints on latency. The transmit chain (Tx) processing is shown on the left side. Sandbridge has implemented each block completely in software including the output filter. Similarly, the receive chain processing (Rx) is shown on the right. All blocks including the rake receiver, despreading, deinterleaving, and turbo decoding are implemented completely in software. Another important consideration in real-time WCDMA radio design is the generation of RF control signals. Automatic frequency control (AFC) and automatic gain control (AGC) is implemented in software in our design and meets the tight timing requirements specified by UMTS. In a similar manner, we have implemented the physical layer processing for GSM/GPRS, GPS, and b on our platform. All execute in real-time on the SB9600. MULTI-THREADED PROCESSOR Sandbridge Technologies has designed a multithreaded processor capable of executing DSP, Control, and Java code in a single compound instruction set optimized for handset radio applications [5]. The Sandbridge design overcomes the deficiencies of previous approaches by providing substantial parallelism and throughput for high-performance DSP applications while maintaining fast interrupt response, high-level language programmability, and very low power dissipation. As shown in Figure 2, the core includes a unique combination of modern techniques such as a SIMD Vector/DSP unit, a parallel reduction unit, and a RISCbased integer unit. Each processor core provides support for concurrent execution for up to eight threads of execution. All state may be saved from each individual thread and no special software support is required for interrupt processing. The machine is partitioned into a RISC-based control unit that fetches instructions from a set-associative instruction cache. Instruction space is conserved through the use of compounded instructions that are grouped into packets for execution. The cache relieves the programmer of moving large programs into SRAM and avoids overlays that burden software systems. A cache also has the advantage that a programmer need only concern themselves with working

3 Bus/Memory Interface Dir LRU Replace I Cache 64B Lines 4W (2-active) Data Memory Data Data Memory Data Memory Memory Data Data Memory Data Memory Memory 8-Banks I- I- Decode Decode Data Buffer Interrupt CR JTR LCR JumpQ PC AGEN WB LS IQ INT IQ (16) 32-bit GPR SIMDIQ VPR0 VPR1 VPR2 VPR3 LRA LRB IRA IRB Address ALU ADD ADD ADD ADD ACC ACC ACC ACC Figure 2. Sandblaster Processor Core SAT set size (e.g. the dynamic code that predominantly executes) rather than the static instruction size that resides in flash or is downloaded dynamically over the air. The data memory does not use a cache because in most broadband communications systems the data is streamed from A/D converters and passed on for further processing. Analogous to the instruction memory, the data memory also has eight independent banks for concurrent access by each thread. The complete memory system is unified to allow easy software access to any thread data. Special care has been taken in the design of the memory subsystem to reduce power dissipation. The pipeline design in combination with the memory design ensures that all memories are single ported and yet the processor can sustain nearly 4 taps per cycle (the theoretical maximum) in every thread unit simultaneously. A RISC-based execution unit, depicted in the center of Figure 2, assists with control processing. Physical layer processing often consists of control structures with compute-intensive inner loops. A baseband processor must deal with both integer and fractional datatypes. For the control code, a 16 entry, 32-bit register file per thread unit provides for very efficient control processing. Common Integer datatypes are typically stored in the register file. This allows for branch bounds to be computed and addresses to be efficiently generated. Intensive DSP physical layer processing is performed in the SIMD/Vector unit depicted on the right side of Figure 2. Each cycle, a 4x16-bit vector may be loaded into the register file while two vectors are being multiplied, saturated, reduced (e.g. summed), and saturated again. The branch bound may also be computed and the instruction looped on itself until the entire vector is processed. This may be specified in as little as 64-bits. This compares very favorably to VLIW implementations. An important power consideration is that the Vector File contains a single write port for threads. This is in distinct contrast to VLIW implementations that must specify an independent write port for each VLIW instruction (often up to 256-bits with 4 or more write ports). Since write ports contribute significantly to power dissipation, minimizing them is an important consideration in handset design. The Sandblaster core allows any instruction from any thread to be interrupted on any processor cycle. This is critically important to real-time constraints imposed by physical layer processing. The processor also provides special hardware support for a specific thread unit to interrupt another thread unit with very low latency. This low-latency cross-thread interrupt capability enables fast response to time critical events. Parallelism To enable physical layer processing in software, the processor supports many levels of parallelism. Threadlevel parallelism is supported by providing hardware support for up to 8 independent programs to be simultaneously active on a single Sandblaster core. This minimizes the latency in physical layer processing. Since many algorithms have stringent requirements on response time, multithreading is an integral technique in reducing latencies. In addition to thread-level parallelism, the processor also supports data-level parallelism through the use of a Vector unit. In the inner kernel of signal processing or baseband routines, the computations appear as vector operations of moderate length. Filters, FFTs, convolutions, etc., all can be specified in this manner. Efficient, low power support for data level parallelism effectively accelerates inner loop signal processing.

4 To accelerate control code, the processor supports issuing multiple operations per cycle. Since control code often limits overall program speed-up (e.g. Amdahl s Law), it is helpful to allow control code and vector code to be overlapped. This is provided through a compound instruction set and multithreaded organization. The Sandblaster core provides instruction level parallelism by allowing multiple operations to issue in parallel. Thus, a branch, an integer, and a vector operation may all issue simultaneously per thread unit. In addition, many compound operations are specified within an instruction class such as load with update, and branch with compare. Finally, the SB9600 product includes four processor cores per chip to provide enough computational capability to execute the entire 2Mbps WCDMA baseband processing in software in real-time. y-axis shows the number of MHz required to compute frames of speech in real-time. The AMR code is completely unmodified and no special include files are used [8]. Without using any compiler techniques such as intrinsics or special typedefs, the Sandbridge compiler is able to achieve real-time operation on the Sandblaster TM core at hand-coded assembly language performance levels. Note that it is completely compiled from high-level language. Since other solutions are not able to automatically generate DSP operations, intrinsic libraries must be used. With intrinsic libraries the results for most DSPs are near the Sandbridge results but they only apply to the ETSI algorithms whereas the Sandbridge compiler may be applied to arbitrary C code. SANDBRIDGE TECHNOLOGIES COMPILER Sandbridge has built a new compilable DSP and a supercomputer-class vectorizing compiler to go with it [6]. A unique aspect of the Sandbridge compiler is that DSP operations are automatically generated using a technique called semantic analysis. In semantic analysis, a sophisticated compiler must search for the meaning of a sequence of C language constructs. A programmer writes C code in an architecture independent manner - such as for a micro controller - focusing primarily on the function to be implemented. If DSP operations are required, the programmer implements them using standard modulo C arithmetic. The Sandbridge compiler analyzes the C code, automatically extracts the DSP operations and generates optimized DSP code without the excess operations required to specify DSP arithmetic in C code. This technique has a significant software productivity gain over intrinsic functions and does not force the compiler writers to become DSP assembly language programmers [7]. The Sandbridge architecture uses SIMD instructions to implement Vector operations. The compiler vectorizes C code to exploit the data level parallelism inherent in signal processing applications and then generates the appropriate vector instructions. The compiler also handles the difficult problem of outer loop vectorization A final difficult consideration is vectorizing saturating arithmetic. Because saturating arithmetic is non-associative, the order in which the computations are computed is significant. Because the compiler was designed in conjunction with the processor, special hardware support allows the compiler to safely vectorize non-associative loops. Figure 3 shows the results of various compilers on out-of-the-box ETSI C code for state-of-the-art DSPs. The Mhz AMR Encoder SB TI C64x TI C62x SC140 ADI BlackFin DSP's Figure 3. Out-of-the-box AMR ETSI Encoder C code results Ultra-fast Software Simulation Efficient compilation is just one aspect of software productivity. Prior to having processor systems, designers should have access to fast simulation technology. Figure 4 shows the post-compilation simulation performance of the same AMR encoder for a number of DSP processors. All programs were executed on the same 1GHz laptop Pentium computer. The Sandbridge tools are capable of simulating 25+ Million instructions per second. This is more than two orders of magnitude faster than the nearest competitor and allows real-time execution of GSM speech coding on a Pentium simulation model. To further elaborate, while some DSPs can not even execute the outof-box code in real-time on their native processor, Sandbridge achieves multiple real-time channels on a simulation model of processor. We achieved this by using our own compilation technology to accelerate the simulation.

5 RTOS and IDE The programming interface for the Sandbridge processor is generic ANSI C code. In keeping with an easy-to-use programming philosophy, access to multithreading is provided through the open standards of either Java threads or POSIX pthreads [9]. Since nearly all general purpose platforms support these standards it is simple to port programs to the Sandbridge platform. An API is also supported to allow access to the underlying thread scheduler and for fast porting of 3 rd party RTOS s. for b and even concurrent capacity for multiple communications systems. % SB9600 Utilization Millions of Instructions Per Second Simulation Speed (log scale) SB TI C64x (Code Composer) TI C62x(Code Composer) SC140(Metrow erks) ADI Blackfin (Visual DSP) Figure Simulation speed of ETSI AMR Encoder An IDE is also provided based on the opensource Netbeans IDE [10]. Our netbeans implementation has been extended to work with C programs and allows for both Java and C to be debugged using a common environment. RESULTS Sandbridge Technologies has developed complete SDR product, including baseband processor as well as C code for the UMTS 2Mbps WCDMA FDD mode physical layer standard. Using an internally developed compiler, real-time performance on a 768kbps transmit chain and a 2Mbps receive chain has been achieved, which includes all the blocks shown in Figure 1. The entire transmit chain including bit, symbol, and chip rate processing requires less than 400MHz of processor capacity to sustain a 768 kbps transmit capability. Figure 5 shows the performance requirements for , GPRS, and WCDMA as a function of SB9600 utilization for a number of different transmission rates. Providing processing capability for 2Mbps WCDMA FDD-mode also provides sufficient processing capability 0 Figure GPRS WCDMA 1/2/5.5/11Mbps Class 10/12 64/384/2k Kbps Baseband System Performance SUMMARY Sandbridge Technologies has introduced a completely new and scalable design methodology for implementing multiple transmission systems on a single chip. Using a unique multithreaded architecture specifically designed to reduce power consumption, efficient broadband communications operations are executed on a programmable platform. The processor uses completely interlocked instruction execution providing software compatibility among all processors. Because of the interlocked execution, interrupt latency is very short. An interrupt may occur on any instruction boundary including loads and stores. This is critical for real-time systems. The processor is combined with a highly optimizing compiler with the ability to analyze programs and generate DSP instructions. This obviates the need for assembly language programming and significantly accelerates time-to-market for new transmission systems. To validate our approach, we designed our own 2Mbps WCDMA, b, GSM/GPRS, and GPS physical layers. First, we designed a MATLAB implementation to ensure conformance to the 3GPP specifications. We then implemented the algorithms in fixed point C code and compiled them to our platform using our internally developed tools. The executables were then simulated on our cycle accurate simulator that runs at up to 100 million SandBlaster instructions per second on a high end Pentium thereby ensuring complete logical operation. In addition to the software design, we also build RF cards for each communications system. With a complete system, we execute RF to IF to baseband and reverse uplink processing in our lab. Our measurements confirm that our communications designs, including 2Mbps WCDMA, will execute within field conformance

6 requirements in real time completely in software on the SB9600 TM platform. REFERENCES [1] J. Glossner, E. Hokenek, and M. Moudgill, Wireless SDR Solutions: The Challenge and Promise of Next Generation Handsets, Published at the November 2002 Communications Design Conference, San Jose, California. Available at htm. [2] J. Glossner, M. Schulte, and S. Vassiliadis, Towards a Java-enabled 2Mbps Wireless Handheld Device, in Proceedings of the Systems, Architectures, Modeling, and Simulation (SAMOS) Conference, Samos, Greece, July 14-16, [3] 3GPP, 3rd Generation Partnership Project, Technical SpecificationsV3.8.0 ( ) [4] H. Holma and A. Toskala, WCDMA For UMTS Radio Access For Third Generation Mobile Communications, John Wiley & Sons, LTD, 2001 [5] J. Glossner, E. Hokenek, and M. Moudgill, Multithreaded Processor for Software Defined Radio, Proceedings of the 2002 Software Defined Radio Technical Conference, Volume I, pp , November 11-12, 2002, San Diego, California. [6] J. Glossner, D. Iancu, J. Lu, E. Hokenek, and M. Moudgill, A Software Defined Communications Baseband Design, IEEE Communications Magazine, Vol. 41, No. 1, pages , January, [7] S. Jinturkar, J. Glossner, E. Hokenek, and M. Moudgill, Programming the Sandbridge Multithreaded Processor, Accepted for publication at the 2003 Global Signal Processing Expo (GSPx) and International Signal Processing Conference (ISPC), March 31-April 3, 2003, Dallas, Texas. [8] European Telecommunications Standards Institute, Digital cellular telecommunications system, ANSI-C code for the Adaptive Multi Rate (AMR) speech codec (GSM 6.73) ftp://ftp.3gpp.org/specs/archive /06_series/06.73 [9] B. Nichols, D. Buttlar, and J. Proulx-Farrell, Pthreads Programming: A POSIX Standard for Better Multiprocessing, O'Reilly & Associates, Sebastopol, CA, 1 st edition (September 1996). [10] T. Boudreau, J. Glick, S. Greene, J. Woehr, and V. Spurlin, NetBeans: The Definitive Guide, O'Reilly & Associates, Sebastopol, CA, 1 st edition (October 15, 2002).

Wireless SDR Solutions: The Challenge and Promise of Next Generation Handsets

Wireless SDR Solutions: The Challenge and Promise of Next Generation Handsets Wireless SDR Solutions: The Challenge and Promise of Next Generation Handsets John Glossner, Ph.D., Founder, CTO & EVP Erdem Hokenek, Ph.D., Founder, Chief H/W Architect Mayan Moudgill, Ph.D., Founder,

More information

Sandbridge s Software Defined Radio (SDR) Solution

Sandbridge s Software Defined Radio (SDR) Solution Sandbridge s Software Defined Radio (SDR) Solution John Glossner, Ph.D., Co-Founder, CTO & EVP Tanuj Raja, V.P. Business Development jglossner@sandbridgetech.com traja@sandbridgetech.com 1 North Lexington

More information

The Sandbridge SDR Communications Platform

The Sandbridge SDR Communications Platform The Sandbridge SDR Communications Platform * Sandbridge Technologies, Inc. 1 North Lexington Ave. White Plains, NY 10601 USA {jglossner, mayan, diancu}@sandbridgetech.com John Glossner,*, Mayan Moudgill

More information

Sandblaster Low-Power Multithreaded SDR Baseband Processor

Sandblaster Low-Power Multithreaded SDR Baseband Processor Sandblaster Low-Power Multithreaded SDR Baseband Processor John Glossner 1,3, Michael Schulte 2, Mayan Moudgill 1, Daniel Iancu 1, Sanjay Jinturkar 1, Tanuj Raja 1, Gary Nacer 1, and Stamatis Vassiliadis

More information

A MULTITHREADED PROCESSOR ARCHITECTURE FOR SDR

A MULTITHREADED PROCESSOR ARCHITECTURE FOR SDR A MULTITHREADED PROCESSOR ARCHITECTURE FOR SDR John Glossner, Tanuj Raja, Erdem Hokenek, and Mayan Moudgill Sandbridge Technologies, Inc. White Plains, NY glossner@sandbridgetech.com 914-287-8500 Abstract

More information

IMPLEMENTATION OF H.264 DECODER ON SANDBLASTER DSP Vaidyanathan Ramadurai, Sanjay Jinturkar, Mayan Moudgill, John Glossner

IMPLEMENTATION OF H.264 DECODER ON SANDBLASTER DSP Vaidyanathan Ramadurai, Sanjay Jinturkar, Mayan Moudgill, John Glossner IMPLEMENTATION OF H.264 DECODER ON SANDBLASTER DSP Vaidyanathan Ramadurai, Sanjay Jinturkar, Mayan Moudgill, John Glossner Sandbridge Technologies, 1 North Lexington Avenue, White Plains, NY 10601 sjinturkar@sandbridgetech.com

More information

THE SANDBLASTER AUTOMATIC MULTITHREADED VECTORIZING COMPILER

THE SANDBLASTER AUTOMATIC MULTITHREADED VECTORIZING COMPILER THE SANDBLASTER AUTOMATIC MULTITHREADED VECTORIZING COMPILER Abstract Sanjay Jinturkar *, John Glossner *,, Vladimir Kotlyar *, and Mayan Moudgill * * Sandbridge Technologies, Inc. 1 North Lexington Ave.

More information

A SOFTWARE DEFINED COMMUNICATIONS BASEBAND DESIGN

A SOFTWARE DEFINED COMMUNICATIONS BASEBAND DESIGN A SOFTWARE DEFINED COMMUNICATIONS BASEBAND DESIGN John Glossner, Daniel Iancu, Jin Lu, Erdem Hoene, and Mayan Moudgill Sandbridge Technologies, Inc. White Plains, NY 914-287-8500 glossner@sandbridgetech.com

More information

SIMULTANEOUS BASEBAND PROCESSING CONSIDERATIONS IN A MULTI-MODE HANDSET USING THE SANDBLASTER BASEBAND PROCESSOR

SIMULTANEOUS BASEBAND PROCESSING CONSIDERATIONS IN A MULTI-MODE HANDSET USING THE SANDBLASTER BASEBAND PROCESSOR SIMULTANEOUS BASEBAND PROCESSING CONSIDERATIONS IN A MULTI-MODE HANDSET USING THE SANDBLASTER BASEBAND PROCESSOR Babak Beheshti, b.beheshti@ieee.org Sanjay Jinturkar, Sandbridge Technologies, sanjay.jinturkar@sandbridgetech.com

More information

Future Wireless Convergence Platforms

Future Wireless Convergence Platforms Future Wireless Convergence Platforms John Glossner, Ph.D., EVP & CTO Stuart Stanley, Director of RF Engineering Mayan Moudgill, Ph.D., Chief Architect Michael Samori, Director of Hardware Design Daniel

More information

Towards a Java-enabled 2Mbps wireless handheld device

Towards a Java-enabled 2Mbps wireless handheld device Towards a Java-enabled 2Mbps wireless handheld device John Glossner 1, Michael Schulte 2, and Stamatis Vassiliadis 3 1 Sandbridge Technologies, White Plains, NY 2 Lehigh University, Bethlehem, PA 3 Delft

More information

COPYRIGHTED MATERIAL. Introduction. Harri Holma and Antti Toskala. 1.1 WCDMA technology and deployment status

COPYRIGHTED MATERIAL. Introduction. Harri Holma and Antti Toskala. 1.1 WCDMA technology and deployment status 1 Introduction Harri Holma and Antti Toskala 1.1 WCDMA technology and deployment status The first Third Generation Partnership Project (3GPP) Wideband Code Division Multiple Access (WCDMA) networks were

More information

The Sandbridge SDR Convergence Processor Platform

The Sandbridge SDR Convergence Processor Platform The Sandbridge SDR Convergence Processor Platform John Glossner, Ph.D., Co-Founder, CTO & EVP Daniel Iancu, Ph.D., Chief Communications Architect Tanuj Raja, V.P. Business Development jglossner@sandbridgetech.com

More information

Sandbridge SDR Processor Technology with Application to MIMO-OFDM Receivers

Sandbridge SDR Processor Technology with Application to MIMO-OFDM Receivers Sandbridge SDR Processor Technology with Application to MIMO-OFDM Receivers John Glossner, Ph.D., Co-Founder, CTO & EVP Daniel Iancu, Ph.D., Chief Communications Architect Hua Ye, Ph.D., Principal Engineer

More information

A System Solution for High-Performance, Low Power SDR

A System Solution for High-Performance, Low Power SDR A System Solution for High-Performance, Low Power SDR Yuan Lin 1, Hyunseok Lee 1, Yoav Harel 1, Mark Woh 1, Scott Mahlke 1, Trevor Mudge 1 and Krisztián Flautner 2 1 Advanced Computer Architecture Laboratory

More information

Third generation WCDMA radio evolution

Third generation WCDMA radio evolution WIRELESS COMMUNICATIONS AND MOBILE COMPUTING Wirel. Commun. Mob. Comput. 2003; 3:987 992 (DOI: 10.1002/wcm.134) Third generation WCDMA radio evolution Harri Holma*,y and Antti Toskala Nokia Networks, IP

More information

Global Scheduler. Global Issue. Global Retire

Global Scheduler. Global Issue. Global Retire The Delft-Java Engine: An Introduction C. John Glossner 1;2 and Stamatis Vassiliadis 2 1 Lucent / Bell Labs, Allentown, Pa. 2 Delft University oftechnology, Department of Electrical Engineering Delft,

More information

Embedded Computation

Embedded Computation Embedded Computation What is an Embedded Processor? Any device that includes a programmable computer, but is not itself a general-purpose computer [W. Wolf, 2000]. Commonly found in cell phones, automobiles,

More information

SOFTWARE IMPLEMENTATION OF IEEE B WIRELESS LAN STANDARD. Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA;

SOFTWARE IMPLEMENTATION OF IEEE B WIRELESS LAN STANDARD. Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA; SOFTWARE IMPLEMENTATION OF IEEE 802.11B WIRELESS LAN STANDARD Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA; suyog@hellosoft.com) ABSTRACT Software-Defined Radio (SDR) is a rapidly evolving

More information

Microprocessors vs. DSPs (ESC-223)

Microprocessors vs. DSPs (ESC-223) Insight, Analysis, and Advice on Signal Processing Technology Microprocessors vs. DSPs (ESC-223) Kenton Williston Berkeley Design Technology, Inc. Berkeley, California USA +1 (510) 665-1600 info@bdti.com

More information

Microprocessor Extensions for Wireless Communications

Microprocessor Extensions for Wireless Communications Microprocessor Extensions for Wireless Communications Sridhar Rajagopal and Joseph R. Cavallaro DRAFT REPORT Rice University Center for Multimedia Communication Department of Electrical and Computer Engineering

More information

Instruction Set Extensions for Cyclic Redundancy Check on a Multithreaded Processor

Instruction Set Extensions for Cyclic Redundancy Check on a Multithreaded Processor Instruction Set Extensions for Cyclic Redundancy Check on a ultithreaded Processor Emily R. Blem Dept. of ECE University of Wisconsin-adison adison, WI blem@cae.wisc.edu Suman amidi Dept. of ECE University

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING UTN - FRBA 2011 www.electron.frba.utn.edu.ar/dplab Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable.

More information

Wireless Communication

Wireless Communication Wireless Communication Hwajung Lee Key Reference: Prof. Jong-Moon Chung s Lecture Notes at Yonsei University Wireless Communications Bluetooth Wi-Fi Mobile Communications LTE LTE-Advanced Mobile Communications

More information

Reconfigurable VLSI Communication Processor Architectures

Reconfigurable VLSI Communication Processor Architectures Reconfigurable VLSI Communication Processor Architectures Joseph R. Cavallaro Center for Multimedia Communication www.cmc.rice.edu Department of Electrical and Computer Engineering Rice University, Houston

More information

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

Flexible wireless communication architectures

Flexible wireless communication architectures Flexible wireless communication architectures Sridhar Rajagopal Department of Electrical and Computer Engineering Rice University, Houston TX Faculty Candidate Seminar Southern Methodist University April

More information

Wireless Communication

Wireless Communication Wireless Communication Hwajung Lee Key Reference: Prof. Jong-Moon Chung s Lecture Notes at Yonsei University Wireless Communications Bluetooth Wi-Fi Mobile Communications LTE LTE-Advanced Mobile Communications

More information

White Paper Using Cyclone III FPGAs for Emerging Wireless Applications

White Paper Using Cyclone III FPGAs for Emerging Wireless Applications White Paper Introduction Emerging wireless applications such as remote radio heads, pico/femto base stations, WiMAX customer premises equipment (CPE), and software defined radio (SDR) have stringent power

More information

Advance CPU Design. MMX technology. Computer Architectures. Tien-Fu Chen. National Chung Cheng Univ. ! Basic concepts

Advance CPU Design. MMX technology. Computer Architectures. Tien-Fu Chen. National Chung Cheng Univ. ! Basic concepts Computer Architectures Advance CPU Design Tien-Fu Chen National Chung Cheng Univ. Adv CPU-0 MMX technology! Basic concepts " small native data types " compute-intensive operations " a lot of inherent parallelism

More information

COPYRIGHTED MATERIAL. Introduction. Harri Holma and Antti Toskala. 1.1 WCDMA in Third-Generation Systems

COPYRIGHTED MATERIAL. Introduction. Harri Holma and Antti Toskala. 1.1 WCDMA in Third-Generation Systems 1 Introduction Harri Holma and Antti Toskala 1.1 WCDMA in Third-Generation Systems Analog cellular systems are commonly referred to as first-generation systems. The digital systems, such as Global System

More information

CS6303 Computer Architecture Regulation 2013 BE-Computer Science and Engineering III semester 2 MARKS

CS6303 Computer Architecture Regulation 2013 BE-Computer Science and Engineering III semester 2 MARKS CS6303 Computer Architecture Regulation 2013 BE-Computer Science and Engineering III semester 2 MARKS UNIT-I OVERVIEW & INSTRUCTIONS 1. What are the eight great ideas in computer architecture? The eight

More information

Cache Justification for Digital Signal Processors

Cache Justification for Digital Signal Processors Cache Justification for Digital Signal Processors by Michael J. Lee December 3, 1999 Cache Justification for Digital Signal Processors By Michael J. Lee Abstract Caches are commonly used on general-purpose

More information

The Case for Reexamining Multimedia File System Design

The Case for Reexamining Multimedia File System Design The Case for Reexamining Multimedia File System Design Position Statement Prashant Shenoy Department of Computer Science, University of Massachusetts, Amherst, MA 01003. shenoy@cs.umass.edu Research in

More information

Wireless systems overview

Wireless systems overview Wireless systems overview Evolution of systems from 1G to 4G 1G, 4G major features Specifications comparison 5G communication systems Summary Wireless Systems 2016 Evolution of cellular networks WiMAX

More information

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki An Ultra High Performance Scalable DSP Family for Multimedia Hot Chips 17 August 2005 Stanford, CA Erik Machnicki Media Processing Challenges Increasing performance requirements Need for flexibility &

More information

Mobile Broadband Comparison. CDMA Development Group March 2008

Mobile Broadband Comparison. CDMA Development Group March 2008 Mobile Broadband Comparison CDMA Development Group March 2008 Assumptions and Notes for the Technology Comparison This document compares the performance of existing and future mobile communications systems

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

Separating Reality from Hype in Processors' DSP Performance. Evaluating DSP Performance

Separating Reality from Hype in Processors' DSP Performance. Evaluating DSP Performance Separating Reality from Hype in Processors' DSP Performance Berkeley Design Technology, Inc. +1 (51) 665-16 info@bdti.com Copyright 21 Berkeley Design Technology, Inc. 1 Evaluating DSP Performance! Essential

More information

Trends in low power handset Software Defined Radios Author: Tanuj Raja, Vice President Dr. John Glossner, EVP & CTO

Trends in low power handset Software Defined Radios Author: Tanuj Raja, Vice President Dr. John Glossner, EVP & CTO Trends in low power handset Software Defined Radios Author: Tanuj Raja, Vice President Dr. John Glossner, EVP & CTO Sandbridge Technologies, Inc. 1 North Lexington Ave, 10 th Floor White Plains, NY, 10601

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

Mobile WiMAX in the Evolving Wireless Broadband Landscape

Mobile WiMAX in the Evolving Wireless Broadband Landscape Mobile WiMAX in the Evolving Wireless Broadband Landscape TECHNOLOGY BACKGROUNDER Introduction Even with the surprising variety of wireless broadband technologies available today, Mobile WiMAX (IEEE 802.16e)

More information

Programming the SB3010

Programming the SB3010 Programming the SB3010 John Glossner, Ph.D. CTO & EVP 1 North Lexington Ave, 10 th Floor White Plains, New York 10601 914-287-8500 jglossner@sandbridgetech.com 1 Agenda Sandblaster Platform SB3010 chip

More information

Optimal Porting of Embedded Software on DSPs

Optimal Porting of Embedded Software on DSPs Optimal Porting of Embedded Software on DSPs Benix Samuel and Ashok Jhunjhunwala ADI-IITM DSP Learning Centre, Department of Electrical Engineering Indian Institute of Technology Madras, Chennai 600036,

More information

MPSoC Design Space Exploration Framework

MPSoC Design Space Exploration Framework MPSoC Design Space Exploration Framework Gerd Ascheid RWTH Aachen University, Germany Outline Motivation: MPSoC requirements in wireless and multimedia MPSoC design space exploration framework Summary

More information

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager

Software Defined Modems for The Internet of Things. Dr. John Haine, IP Operations Manager Software Defined Modems for The Internet of Things Dr. John Haine, IP Operations Manager www.cognovo.com What things? 20 billion connected devices Manufactured for global markets Low cost Lifetimes from

More information

Choosing a Processor: Benchmarks and Beyond (S043)

Choosing a Processor: Benchmarks and Beyond (S043) Insight, Analysis, and Advice on Signal Processing Technology Choosing a Processor: Benchmarks and Beyond (S043) Jeff Bier Berkeley Design Technology, Inc. Berkeley, California USA +1 (510) 665-1600 info@bdti.com

More information

High-performance and Low-power Consumption Vector Processor for LTE Baseband LSI

High-performance and Low-power Consumption Vector Processor for LTE Baseband LSI High-performance and Low-power Consumption Vector Processor for LTE Baseband LSI Yi Ge Mitsuru Tomono Makiko Ito Yoshio Hirose Recently, the transmission rate for handheld devices has been increasing by

More information

USING C-TO-HARDWARE ACCELERATION IN FPGAS FOR WAVEFORM BASEBAND PROCESSING

USING C-TO-HARDWARE ACCELERATION IN FPGAS FOR WAVEFORM BASEBAND PROCESSING USING C-TO-HARDWARE ACCELERATION IN FPGAS FOR WAVEFORM BASEBAND PROCESSING David Lau (Altera Corporation, San Jose, CA, dlau@alteracom) Jarrod Blackburn, (Altera Corporation, San Jose, CA, jblackbu@alteracom)

More information

MIPS Technologies MIPS32 M4K Synthesizable Processor Core By the staff of

MIPS Technologies MIPS32 M4K Synthesizable Processor Core By the staff of An Independent Analysis of the: MIPS Technologies MIPS32 M4K Synthesizable Processor Core By the staff of Berkeley Design Technology, Inc. OVERVIEW MIPS Technologies, Inc. is an Intellectual Property (IP)

More information

Chapter 5. Introduction ARM Cortex series

Chapter 5. Introduction ARM Cortex series Chapter 5 Introduction ARM Cortex series 5.1 ARM Cortex series variants 5.2 ARM Cortex A series 5.3 ARM Cortex R series 5.4 ARM Cortex M series 5.5 Comparison of Cortex M series with 8/16 bit MCUs 51 5.1

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING UTN-FRBA 2010 Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable. Reproducibility. Don t depend on components

More information

THE VANU SOFTWARE RADIO SYSTEM

THE VANU SOFTWARE RADIO SYSTEM THE VANU SOFTWARE RADIO SYSTEM Dr. John M. Chapin (Vanu, Inc., Cambridge, MA, USA, jchapin@vanu.com) Dr. Vanu G. Bose (Vanu, Inc., Cambridge, MA, USA, vanu@vanu.com) ABSTRACT Vanu Software Radio is a software

More information

MPEG4 VIDEO OVER PACKET SWITCHED CONNECTION OF THE WCDMA AIR INTERFACE

MPEG4 VIDEO OVER PACKET SWITCHED CONNECTION OF THE WCDMA AIR INTERFACE MPEG4 VIDEO OVER PACKET SWITCHED CONNECTION OF THE WCDMA AIR INTERFACE Jamil Y. Khan 1, Pratik Das 2 School of Electrical Engineering and Computer Science, University of Newcastle, Callaghan, NSW 238,

More information

VIII. DSP Processors. Digital Signal Processing 8 December 24, 2009

VIII. DSP Processors. Digital Signal Processing 8 December 24, 2009 Digital Signal Processing 8 December 24, 2009 VIII. DSP Processors 2007 Syllabus: Introduction to programmable DSPs: Multiplier and Multiplier-Accumulator (MAC), Modified bus structures and memory access

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

Independent DSP Benchmarks: Methodologies and Results. Outline

Independent DSP Benchmarks: Methodologies and Results. Outline Independent DSP Benchmarks: Methodologies and Results Berkeley Design Technology, Inc. 2107 Dwight Way, Second Floor Berkeley, California U.S.A. +1 (510) 665-1600 info@bdti.com http:// Copyright 1 Outline

More information

Computer Architecture

Computer Architecture Computer Architecture Slide Sets WS 2013/2014 Prof. Dr. Uwe Brinkschulte M.Sc. Benjamin Betting Part 10 Thread and Task Level Parallelism Computer Architecture Part 10 page 1 of 36 Prof. Dr. Uwe Brinkschulte,

More information

Instruction Set Extensions for Software Defined Radio on a Multithreaded Processor

Instruction Set Extensions for Software Defined Radio on a Multithreaded Processor Instruction Set Extensions for Software Defined Radio on a Multithreaded Processor Suman Mamidi, Emily R. Blem, Michael J. Schulte University of Wisconsin-Madison 45 Engineering Dr. Madison, WI 5376, US

More information

Implementation of DSP Algorithms

Implementation of DSP Algorithms Implementation of DSP Algorithms Main frame computers Dedicated (application specific) architectures Programmable digital signal processors voice band data modem speech codec 1 PDSP and General-Purpose

More information

High performance, power-efficient DSPs based on the TI C64x

High performance, power-efficient DSPs based on the TI C64x High performance, power-efficient DSPs based on the TI C64x Sridhar Rajagopal, Joseph R. Cavallaro, Scott Rixner Rice University {sridhar,cavallar,rixner}@rice.edu RICE UNIVERSITY Recent (2003) Research

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

Memory Systems IRAM. Principle of IRAM

Memory Systems IRAM. Principle of IRAM Memory Systems 165 other devices of the module will be in the Standby state (which is the primary state of all RDRAM devices) or another state with low-power consumption. The RDRAM devices provide several

More information

DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING QUESTION BANK

DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING QUESTION BANK DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING QUESTION BANK SUBJECT : CS6303 / COMPUTER ARCHITECTURE SEM / YEAR : VI / III year B.E. Unit I OVERVIEW AND INSTRUCTIONS Part A Q.No Questions BT Level

More information

Efficient Assignment of Multiple E-MBMS Sessions towards LTE

Efficient Assignment of Multiple E-MBMS Sessions towards LTE Efficient Assignment of Multiple E-MBMS Sessions towards LTE Antonios Alexiou 1, Christos Bouras 1, 2, Vasileios Kokkinos 1, 2 1 Computer Engineering and Informatics Dept., Univ. of Patras, Greece 2 Research

More information

Benchmarking Multithreaded, Multicore and Reconfigurable Processors

Benchmarking Multithreaded, Multicore and Reconfigurable Processors Insight, Analysis, and Advice on Signal Processing Technology Benchmarking Multithreaded, Multicore and Reconfigurable Processors Berkeley Design Technology, Inc. 2107 Dwight Way, Second Floor Berkeley,

More information

LTE : The Future of Mobile Broadband Technology

LTE : The Future of Mobile Broadband Technology LTE : The Future of Mobile Broadband Technology Erick Setiawan tukangbajaksawah@gmail.com 1 Become a necessity today, where the wireless broadband technology needed to meet increasing expectations in terms

More information

KeyStone C66x Multicore SoC Overview. Dec, 2011

KeyStone C66x Multicore SoC Overview. Dec, 2011 KeyStone C66x Multicore SoC Overview Dec, 011 Outline Multicore Challenge KeyStone Architecture Reminder About KeyStone Solution Challenge Before KeyStone Multicore performance degradation Lack of efficient

More information

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Jongeun Kim, Sukhwan Mun, Taeyeol Oh,Yusuk Yun, Seungwon Choi 1 HY-SDR Research Center, Hanyang University, Seoul,

More information

ConnX D2 DSP Engine. A Flexible 2-MAC DSP. Dual-MAC, 16-bit Fixed-Point Communications DSP PRODUCT BRIEF FEATURES BENEFITS. ConnX D2 DSP Engine

ConnX D2 DSP Engine. A Flexible 2-MAC DSP. Dual-MAC, 16-bit Fixed-Point Communications DSP PRODUCT BRIEF FEATURES BENEFITS. ConnX D2 DSP Engine PRODUCT BRIEF ConnX D2 DSP Engine Dual-MAC, 16-bit Fixed-Point Communications DSP FEATURES BENEFITS Both SIMD and 2-way FLIX (parallel VLIW) operations Optimized, vectorizing XCC Compiler High-performance

More information

A framework for verification of Program Control Unit of VLIW processors

A framework for verification of Program Control Unit of VLIW processors A framework for verification of Program Control Unit of VLIW processors Santhosh Billava, Saankhya Labs, Bangalore, India (santoshb@saankhyalabs.com) Sharangdhar M Honwadkar, Saankhya Labs, Bangalore,

More information

Amber Baruffa Vincent Varouh

Amber Baruffa Vincent Varouh Amber Baruffa Vincent Varouh Advanced RISC Machine 1979 Acorn Computers Created 1985 first RISC processor (ARM1) 25,000 transistors 32-bit instruction set 16 general purpose registers Load/Store Multiple

More information

WIRELESS TRANSMISSION

WIRELESS TRANSMISSION WIRELESS TRANSMISSION Name: R.A.K.M.Ranaweera. Registration No: 07/AS/CI/O22 Department: Computing and Information Technology. Contact: 0718478566 Date: 25/08/2001 Email: 4kasun@gmail.com Wireless Transmission

More information

A PROGRAMMABLE COMMUNICATIONS PROCESSOR FOR THIRD GENERATION WIRELESS COMMUNICATION SYSTEMS

A PROGRAMMABLE COMMUNICATIONS PROCESSOR FOR THIRD GENERATION WIRELESS COMMUNICATION SYSTEMS A PROGRAMMABLE COMMUNICATIONS PROCESSOR FOR THIRD GENERATION WIRELESS COMMUNICATION SYSTEMS Sridhar Rajagopal and Joseph R. Cavallaro Rice University Center for Multimedia Communication Department of Electrical

More information

PowerPC 740 and 750

PowerPC 740 and 750 368 floating-point registers. A reorder buffer with 16 elements is used as well to support speculative execution. The register file has 12 ports. Although instructions can be executed out-of-order, in-order

More information

CS 426 Parallel Computing. Parallel Computing Platforms

CS 426 Parallel Computing. Parallel Computing Platforms CS 426 Parallel Computing Parallel Computing Platforms Ozcan Ozturk http://www.cs.bilkent.edu.tr/~ozturk/cs426/ Slides are adapted from ``Introduction to Parallel Computing'' Topic Overview Implicit Parallelism:

More information

The Sandbridge SB3011 Multiprocessor System on a Chip for Software Defined Radio Handsets John Glossner, Ph.D. CTO & EVP

The Sandbridge SB3011 Multiprocessor System on a Chip for Software Defined Radio Handsets John Glossner, Ph.D. CTO & EVP The Sandbridge SB3011 Multiprocessor System on a Chip for Software Defined Radio Handsets John Glossner, Ph.D. CTO & EVP 1 North Lexington Ave, 10 th Floor White Plains, New York 10601 914-287-8500 jglossner@sandbridgetech.com

More information

Benchmarking Processors for DSP Applications

Benchmarking Processors for DSP Applications Insight, Analysis, and Advice on Signal Processing Technology Benchmarking Processors for DSP Applications Berkeley Design Technology, Inc. 2107 Dwight Way, Second Floor Berkeley, California 94704 USA

More information

Inside Intel Core Microarchitecture

Inside Intel Core Microarchitecture White Paper Inside Intel Core Microarchitecture Setting New Standards for Energy-Efficient Performance Ofri Wechsler Intel Fellow, Mobility Group Director, Mobility Microprocessor Architecture Intel Corporation

More information

Cut DSP Development Time Use C for High Performance, No Assembly Required

Cut DSP Development Time Use C for High Performance, No Assembly Required Cut DSP Development Time Use C for High Performance, No Assembly Required Digital signal processing (DSP) IP is increasingly required to take on complex processing tasks in signal processing-intensive

More information

04 - DSP Architecture and Microarchitecture

04 - DSP Architecture and Microarchitecture September 11, 2015 Memory indirect addressing (continued from last lecture) ; Reality check: Data hazards! ; Assembler code v3: repeat 256,endloop load r0,dm1[dm0[ptr0++]] store DM0[ptr1++],r0 endloop:

More information

Research Article Galois Field Instructions in the Sandblaster 2.0 Architectrue

Research Article Galois Field Instructions in the Sandblaster 2.0 Architectrue Digital Multimedia Broadcasting Volume 2009, Article ID 129698, 5 pages doi:10.1155/2009/129698 Research Article Galois Field Instructions in the Sandblaster 2.0 Architectrue Mayan Moudgill, 1 Andrei Iancu,

More information

ASSEMBLY LANGUAGE MACHINE ORGANIZATION

ASSEMBLY LANGUAGE MACHINE ORGANIZATION ASSEMBLY LANGUAGE MACHINE ORGANIZATION CHAPTER 3 1 Sub-topics The topic will cover: Microprocessor architecture CPU processing methods Pipelining Superscalar RISC Multiprocessing Instruction Cycle Instruction

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

Next Generation Technology from Intel Intel Pentium 4 Processor

Next Generation Technology from Intel Intel Pentium 4 Processor Next Generation Technology from Intel Intel Pentium 4 Processor 1 The Intel Pentium 4 Processor Platform Intel s highest performance processor for desktop PCs Targeted at consumer enthusiasts and business

More information

The Open-Source SDR LTE Platform for First Responders. Software Radio Systems

The Open-Source SDR LTE Platform for First Responders. Software Radio Systems The Open-Source SDR LTE Platform for First Responders Software Radio Systems www.softwareradiosystems.com www.github.com/srslte Outline SRS - Software Radio Systems NIST PSIAP and OpenFirst srslte The

More information

CEVA-X1 Lightweight Multi-Purpose Processor for IoT

CEVA-X1 Lightweight Multi-Purpose Processor for IoT CEVA-X1 Lightweight Multi-Purpose Processor for IoT 1 Cellular IoT for The Massive Internet of Things Narrowband LTE Technologies Days Battery Life Years LTE-Advanced LTE Cat-1 Cat-M1 Cat-NB1 >10Mbps Up

More information

A PROGRAMMABLE BASEBAND PLATFORM FOR SOFTWARE-DEFINED RADIO

A PROGRAMMABLE BASEBAND PLATFORM FOR SOFTWARE-DEFINED RADIO A PROGRAMMABLE BASEBAND PLATFORM FOR SOFTWARE-DEFINED RADIO Hans-Martin Bluethgen, Cyprian Grassmann, Wolfgang Raab, Ulrich Ramacher, Josef Hausner, Infineon Technologies AG, 81609 Munich, Germany, Hans-Martin.Bluethgen@infineon.com

More information

INTRODUCTION TO WIRELESS COMMUNICATION

INTRODUCTION TO WIRELESS COMMUNICATION OVERVIEW INTRODUCTION TO WIRELESS COMMUNICATION EVOLUTION FROM 1G TO 4G SYSTEM 5-G WIRELESS SYSTEM FUNCTIONAL ARCHITECTURE FOR 5G MOBILE NETWORKS THE 4A PARADIGM FEATURES OF 5G TECHNOLOGY 5G APPLICATION

More information

EEM870 Embedded System and Experiment Lecture 3: ARM Processor Architecture

EEM870 Embedded System and Experiment Lecture 3: ARM Processor Architecture EEM870 Embedded System and Experiment Lecture 3: ARM Processor Architecture Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2014 Agenda

More information

Reconfigurable Cell Array for DSP Applications

Reconfigurable Cell Array for DSP Applications Outline econfigurable Cell Array for DSP Applications Chenxin Zhang Department of Electrical and Information Technology Lund University, Sweden econfigurable computing Coarse-grained reconfigurable cell

More information

DIGITAL SIGNAL PROCESSING AND ITS USAGE

DIGITAL SIGNAL PROCESSING AND ITS USAGE DIGITAL SIGNAL PROCESSING AND ITS USAGE BANOTHU MOHAN RESEARCH SCHOLAR OF OPJS UNIVERSITY ABSTRACT High Performance Computing is not the exclusive domain of computational science. Instead, high computational

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

DE5 Thursday, September 09, 2010

DE5 Thursday, September 09, 2010 Real-time Embedded System Programming g Course Teacher: D. M. Akbar Hussain DE5-2010 Lessen # 1 1 Course Book is Required? Course: The course material is prepared from the following books & Internet Resources

More information

Considerations for SDR Implementations in Commercial Radio Networks

Considerations for SDR Implementations in Commercial Radio Networks Considerations for SDR Implementations in Commercial Radio Networks Hans-Otto Scheck Nokia Networks P.O.Box 301 FIN-00045 Nokia Group hans-otto.scheck@nokia.com ETSI Software Defined Radio (SDR) / Cognitive

More information

Fundamentals of Computers Design

Fundamentals of Computers Design Computer Architecture J. Daniel Garcia Computer Architecture Group. Universidad Carlos III de Madrid Last update: September 8, 2014 Computer Architecture ARCOS Group. 1/45 Introduction 1 Introduction 2

More information

Hybrid Coax-Wireless Multimedia Home Networks Using Technology. Noam Geri, Strategic Marketing Manager

Hybrid Coax-Wireless Multimedia Home Networks Using Technology. Noam Geri, Strategic Marketing Manager White Paper Hybrid Coax-Wireless Multimedia Home Networks Noam Geri, Strategic Marketing Manager Cable Broadband Communications Group, Texas Instruments Introduction Wireless home networking has emerged

More information

Versal: AI Engine & Programming Environment

Versal: AI Engine & Programming Environment Engineering Director, Xilinx Silicon Architecture Group Versal: Engine & Programming Environment Presented By Ambrose Finnerty Xilinx DSP Technical Marketing Manager October 16, 2018 MEMORY MEMORY MEMORY

More information

OpenRadio. A programmable wireless dataplane. Manu Bansal Stanford University. Joint work with Jeff Mehlman, Sachin Katti, Phil Levis

OpenRadio. A programmable wireless dataplane. Manu Bansal Stanford University. Joint work with Jeff Mehlman, Sachin Katti, Phil Levis OpenRadio A programmable wireless dataplane Manu Bansal Stanford University Joint work with Jeff Mehlman, Sachin Katti, Phil Levis HotSDN 12, August 13, 2012, Helsinki, Finland 2 Opening up the radio Why?

More information

WHITE PAPER. Fraunhofer Institute for Integrated Circuits IIS

WHITE PAPER. Fraunhofer Institute for Integrated Circuits IIS WHITE PAPER Reference and template code for MPEG audio encoders and decoders on embedded and digital signal processors Fraunhofer IIS (CDKs) are bit precise reference codes tailored for implementations

More information