Do not hesitate to contact us in case you have questions or need more information

Size: px
Start display at page:

Download "Do not hesitate to contact us in case you have questions or need more information"

Transcription

1 Working with highspeed PCB Design in OrCAD This guide walks through some of the highspeed PCB Design functionality that is available within OrCAD PCB Editor. The are more functionality available than what is described in this material. If you are using Allegro PCB Editor the same capabilities are available. Do not hesitate to contact us in case you have questions or need more information Table of Contents Working with highspeed PCB Design in OrCAD... 1 Installing exercise files... 1 Exercise 1: Net Schedule... 2 Exercise 2: Single-ended impedance... 5 Exercise 3: Min/max propagation delay... 8 Exercise 4: Relative (matched) propagation delay Exercise 5: Differential pair static phase Installing exercise files If the workshop is being performed on a laptop provided by Nordcad there is no need to install the workshop files. Download and installation: 1. Create folder C:\Workshop 2. Download exercise files to C:\Workshop 3. p 4. Unzip files in C:\Workshop This creates a directory C:\Workshop\OrCAD_HighSpeed with the files used in this workshop. Page 1 of 15

2 Exercise 1: Net Schedule This exercise walks through net scheduling which is a function to control that a signal is routed using a specific order. 1. Open the project pcb_editor.opj in the directory C:\Workshop\OrCAD_HighSpeed This is a design that has been cut down to 3 address signals DDR3_A3-0, 4 data signals DDR3_DQ3-0 and a differential strobe signal DDR3_DQS0N-P. 2. Within OrCAD Capture select pcb_editor.dsn in Project Manager and then Tools Create Netlist. 3. The Create netlist dialog setup should be correct. Verify the settings below and press OK. Input board file: C:\Workshop\PCBEditor\OrCAD_Highspeed\allegro\template.brd 4. If a license choice dialog is shown select OrCAD PCB Designer Professional. Page 2 of 15

3 In PCB Editor the mentioned nets are shown. The address signals are purple, data/strobe are green. Since the data/strobe signals are point to point (only consists of 2 pins) it makes no sense to schedule those. The address signals are connected to 4 memory devices and it is important to schedule those nets to ensure correct routing (and constraint setup) 5. Select Edit Net Schedule (Logic Net Schedule) 6. First select a pin with a purple ratsnets on U801 and then the corresponding pin on U600, then U601 and so on. Pins that are selectable are highlighted. Finally select the corresponding pin on R604 and Rightclick Finish. 7. Repeat the process for the other 3 address signals Page 3 of 15

4 8. Now all 3 adress signals are scheduled. Open Constraint Manager and select Electrical Net Routing Wiring. 9. It is easy to verify if all address signals are scheduled by opening the netclass ADDR_CMD and check if Schedule is User Defined as shown below 10. In order to check if the nets are routed according to the schedule it is important to verify the schedule. Set Verify Schedule to Yes for DDR3_A3-0 as shown below 11. Also add a Stub Length max value of 2mm. The reason for this is the fanout vias used. Net schedule will result in a DRC if the net is not routed 100% as scheduled from pin to pin. In this case the routing will be from via to via and there will be a piece of shared routing from the via into the pin on each component. 12. Close Constraint Manager. This is the end of Exercise 1. Routing is part of the upcoming exercises Page 4 of 15

5 Exercise 2: Single-ended impedance Many designs today require a specific impedance to avoid signal integrity issues. In this exercise impedance constraints are setup and used. 1. The exercise continue from Exercise 1 2. First the stackup needs to be verified. Since the impedance calculation depends on materials and their characteristics it is very important to specify the stackup correctly. 3. Select Setup Cross-section 4. Verify that the settings are as shown below 5. Open Constraint Manager and select Electrical Net Routing Impedance 6. Now add 60 Ohm constraints on the address signals DDR3_A3-0. Leave the tolerance 2% Page 5 of 15

6 7. The yellow Target field indicates that the constraint is in-active (online DRC is not performed). To enable/activate Right click on Target and select Analysis Mode 8. Close Constraint Manager and go to PCB Editor 9. Route the 4 address signals DDR3_A3-0 (purple ratsnests). Use inner layers I1 and I2 10. In case you re unfamiliar with routing you should either do our getting started workshop or there are a few lines below about how to route a. Right Click Application Mode Etch Edit (this changes PCB Editor to an object oriented way of working for routing) b. Left click a rat, pin or via to start routing. i. Left click to insert a corner and Double click to insert via and continue routing on another layer c. Finish a connection by left clicking on a pin/via d. Left click an existing connection to slide/push it e. Look at the Options panel to the right to find route settings Page 6 of 15

7 11. When the routing is finished run a DRC check. Select Check DRC Update 12. Open Constraint Manager and select Electrical Net Routing Wiring and verify that net schedule status is PASS 13. Close Constraint Manager and save the design in PCB Editor using File Save This concludes exercise 2 Page 7 of 15

8 Exercise 3: Min/max propagation delay To ensure that the termination resistors are placed closely to U603 a min/max delay constraint is specified on DDR3_A3-0 from U602 R Open Constraint Manager and select Electrical Net Routing Min/Max Propagation Delay 2. Create a pin-pair for each address signal from U603 to R Select DDR3_A0 and Right Click Create Pin Pair 4. In the Create Pin Pairs of xxxx dialog do the following a. Select U603.xx in the First Pins section b. Select R604.x in the Second Pins section c. Left click OK to create the pin pair Page 8 of 15

9 5. Repeat the above steps for the remaining address signals DDR3_A For each of the defined pin-pairs specify a Prop Delay min value of 10mm and a max value of 15mm 7. Depending on the routing in exercise 2 the min/max value will either pass (green) or fail (red). In the example aboe the pin pair U603.L8 R604.3 is too short. (If no nets fail, you could try to decrease or increase the routing length on one of the pin pairs.) 8. Switch back to PCB Editor and locate the routing between U603 and R Select Route Delay Tune and adjust the length for the net that is too short. Tuning patterns can be setup in the Options panel to the right a. Notice the meter that shows if the delay is correct (green in picture below) 10. Switch back to Constraint Manager and verify the min/max delay constraints This concludes exercise 3 Page 9 of 15

10 Exercise 4: Relative (matched) propagation delay The nets DDR3_DQ3-0, DDR3_DQS0N/P and DDR_DM0 must have equal length within a tolerance. Since all these nets are point to point connections there are no need to create pin pairs. 1. Open Constraint Manager and select Electrical Net Routing Relative Propagation Delay 2. Unfold netgroup BL0 and the differential pair DP2 (left click the + signs) 3. Select the nets DDR3_DQ3-0, DDR3_DQS0N-P and DDR3_DM0 4. Then Right click Create Match Group 5. In the Create Match Group dialog a name must be specified for the match group. Type bytelane0 and click Ok to create the match group Page 10 of 15

11 6. This creates the match group which can be found at the top of the list inside Electrical Net Routing Relative Propagation Delay 7. By default when a matched group is defined with a tolerance of +/-5%. In this case another constraint is needed. In the line with Bytelane0 Change Delta: Tolerance to 0mm:0.5mm The result is that all the signals in the match group must have the same length +/-0.5mm. (difference between shortest and longest signal can be 1mm) Page 11 of 15

12 8. Switch back to PCB Editor and route the remaining connections for the nets (Bytelane0) in the match group (green ratsnests) 9. Switch back to Constraint Manager, select Bytelane0 and Right Click Analyze PCB Editor automatically selects a net that is the target which is the net all the other nets are matched against. The net that is selected is the one with the longest manhatten length (x + y distance between pins). After routing, it is often an advantage to select the longest routed signal as the target. To find the longest signal double click the column header Length Page 12 of 15

13 10. In the shown example DDR3_DQS0N is the longest signal. It can differ in your example. Find the longest signal and Right click on Delta:Toleance in the line with the net name and select Set as target Switch back to PCB Editor and use Route Delay Tune to adjust the length on all the connections that are not long enough (should have a red DRC marker) a. For the differential pair it might be necessary to right click single trace mode to only change length of one of the signals. Alternatively change it at the pins. 12. When the length is tuned switch back to Constraint Manager and observe the results This concludes exercise 4 Page 13 of 15

14 Exercise 5: Differential pair static phase Typically, the length of the signals in the differential pair must have an equal length that is tighter than what is in the match group. In some cases a differential pair is not part of a matched group and would still require length matching. For this purpose a static phase can be defined. 1. Open Constraint Manager and select Electrical Net Routing Differential Pair 2. Unfold the netgroup BL0 in order to see DP2 which is the differential pair 3. Now specify Static Phace Tolerance to 0.1mm. This means that the 2 nets in the differential pair must match within 0.1mm In case the length difference is longer than 0.1mm this is marked with red in Constraint Manager 4. Notice the net that is to short in Constraint Manager. In example above it is DDR3_DQS0P Page 14 of 15

15 5. Switch back to PCB Editor 6. Depending on the routing use 1 of the following methodologies a. Route Delay Tune, select route and right click Single trace mode to add more routing length with tuning patterns b. Route Slide, select exiting tuning pattern and move mouse to get correct static phase 7. Make sure that the Static Phase meter shows green. 8. Do a File Save to save the board This concludes Exercise 5 Page 15 of 15

Creating a PCB Design with OrCAD PCB Editor

Creating a PCB Design with OrCAD PCB Editor Creating a PCB Design with OrCAD PCB Editor This guide is focused on learning how to create a PCB (Printed Circuit board) design. The guide will make use of the PCB Flow menu that is part of this workshop

More information

FlowCAD. FlowCAD Webinar. Constraint Manager Tips and Tricks.

FlowCAD. FlowCAD Webinar. Constraint Manager Tips and Tricks. FlowCAD Webinar Constraint Manager Tips and Tricks FlowCAD Overview Constraint Manager Basic Physical Constraints Spacing Constraints Analysis Mode Differential Pair Total Etch length Constraint Regions

More information

If the workshop is being performed on a laptop provided by Nordcad there is no need to install the workshop files.

If the workshop is being performed on a laptop provided by Nordcad there is no need to install the workshop files. 3D PCB workshop This workshop walks through some of the 3D features that are built into all OrCAD/Allegro PCB Design solutions. No extra software or options are required. Table of Contents 3D PCB workshop...

More information

Lesson 19: Processing a Hierarchical Design

Lesson 19: Processing a Hierarchical Design Lesson 19: Processing a Hierarchical Design Lesson Objectives After you complete this lesson you will be able to: Annotate a hierarchical design Perform a Design Rule Check on a hierarchical design Correct

More information

FlowCAD. FlowCAD Webinar. OrCAD / Allegro PCB Editor Trucs et astuces November 2012

FlowCAD. FlowCAD Webinar. OrCAD / Allegro PCB Editor Trucs et astuces November 2012 FlowCAD Webinar OrCAD / Allegro PCB Editor Trucs et astuces 8. November 2012 Print Screen from the Canvas Open Windows Explorer with the working folder Z-Copy: Copy a Shape to another Layer Z-Copy: Copy

More information

Graser User Conference Only

Graser User Conference Only High-Speed Interface Driven PCB Design (Net Group, Aixx, Floorplanning etc) Mika Ho / Graser 31/Oct/2014 Topic Chapter One An Interface Example DDRx An Example: Timing Relationship for DDR3 Case Description

More information

Lesson 9: Advanced Placement Techniques

Lesson 9: Advanced Placement Techniques 9 Lesson 9: Advanced Placement Techniques Learning Objectives In this lesson you will: Turn ratsnests on and off to selectively place components Use interactive swapping for pins and gates Apply advanced

More information

Lesson 18: Creating a Hierarchical Block

Lesson 18: Creating a Hierarchical Block Lesson 18: Creating a Hierarchical Block Lesson Objectives After you complete this lesson you will be able to: Create hierarchical blocks Copying Schematics between Projects You can copy and paste between

More information

Lesson 11: Routing and Glossing

Lesson 11: Routing and Glossing 11 Lesson 11: Routing and Glossing Learning Objectives In this lesson you will: Define and display etch grids used for routing Create via fanouts Add and delete connect lines (clines) and vias Use Slide

More information

Lesson 5: Board Design Files

Lesson 5: Board Design Files 5 Lesson 5: Board Design Files Learning Objectives In this lesson you will: Use the Mechanical Symbol Editor to create a mechanical board symbol Use the PCB Design Editor to create a master board design

More information

Lesson 11: Interactive Routing and Glossing

Lesson 11: Interactive Routing and Glossing 11 Lesson 11: Interactive Routing and Glossing Learning Objectives In this lesson you will: Define and display etch grids used for routing Create via fanouts Add and delete connect lines (clines) and vias

More information

Lesson 5: Creating Heterogeneous Parts

Lesson 5: Creating Heterogeneous Parts Lesson 5: Creating Heterogeneous Parts Lesson Objectives After you complete this lesson you will be able to: Create a Heterogeneous part Annotate a Heterogeneous part (Optional) Heterogeneous Parts A heterogeneous

More information

Allegro Design Entry CIS Constraints

Allegro Design Entry CIS Constraints Managing Constraints using Allegro Design Entry CIS with Allegro PCB Editor: Application Note Product Version 16.0 March 2007 Contents Overview Acronyms Scope The Allegro Properties Filter Class rules

More information

University of Kansas EECS Circuit Board Fabrication Tutorial for 212 Lab

University of Kansas EECS Circuit Board Fabrication Tutorial for 212 Lab University of Kansas EECS Circuit Board Fabrication Tutorial for 212 Lab Preparing For Export... 1 Assigning Footprints... 1 Recommended Footprints... 2 No Connects... 3 Design Rules Check... 3 Create

More information

Nordcad Flow menus. Rev Nordcad Systems A/S

Nordcad Flow menus. Rev Nordcad Systems A/S Nordcad Flow menus Rev 1.00 2014 Nordcad Systems A/S www.nordcad.dk Support@nordcad.dk Purpose The purpose of the PCB and Footprint flow menus is to guide the user through a number of steps normally involved

More information

Lesson 14: Property Editor

Lesson 14: Property Editor Lesson 14: Property Editor Lesson Objectives After completing this lesson, you will be able to: Work with Property Filters in the Property Editor Add part and net properties using the Property Editor Using

More information

2 Working with Constraint Objects

2 Working with Constraint Objects 1 Allegro Constraint Manager User Guide 2 Working with Constraint Objects Topics in this chapter include About Constraint Object Hierarchy About Objects Designs and Systems Net Class Net Class-Class Differential

More information

Lesson 8: Component Placement

Lesson 8: Component Placement 8 Lesson 8: Component Placement Learning Objectives In this lesson you will: Using floorplanning to organize the placement of components with the same ROOM property Assign reference designators to preplaced

More information

Lesson 9: Processing a Schematic Design

Lesson 9: Processing a Schematic Design Lesson 9: Processing a Schematic Design Lesson Objectives After you complete this lab you will be able to: Assign reference designators Check the design for errors Create a netlist for OrCAD and Allegro

More information

Exercise 1. Section 2. Working in Capture

Exercise 1. Section 2. Working in Capture Exercise 1 Section 1. Introduction In this exercise, a simple circuit will be drawn in OrCAD Capture and a netlist file will be generated. Then the netlist file will be read into OrCAD Layout. In Layout,

More information

2 Creating Xnets and Differential Pairs by Assigning Signal Models

2 Creating Xnets and Differential Pairs by Assigning Signal Models 1 Allegro Design Entry HDL - Constraint Manager User Guide Product Version 16.6 October 2012 2 Creating Xnets and Differential Pairs by Assigning Signal Models Design Entry HDL provides support for creating

More information

- create new schematic to the new project, PCB design begins with a schematic diagram, which present how components are connected

- create new schematic to the new project, PCB design begins with a schematic diagram, which present how components are connected Eagle 8.x tutorial - create a new project, Eagle designs are organized as projects - create new schematic to the new project, PCB design begins with a schematic diagram, which present how components are

More information

6 Using Constraint Manager with Other Tools Across the Allegro Platform

6 Using Constraint Manager with Other Tools Across the Allegro Platform 1 Allegro Constraint Manager User Guide 6 Using Constraint Manager with Other Tools Across the Allegro Platform Topics in this chapter include Phases in the Design Flow Design Exploration Phase (with SigXplorer)

More information

Electronics Design - Eagle

Electronics Design - Eagle Back to tutorial index Electronics Design - Eagle This week's assignment is to: add a button and LED to the echo hello-world board, check the design rules, and make it. Review Neil's class page: http://academy.cba.mit.edu/classes/electronics_design/index.html

More information

Cycle through three routing modes (ignore, avoid or push obstacle) Toggle electrical grid on/off

Cycle through three routing modes (ignore, avoid or push obstacle) Toggle electrical grid on/off PCB Editor Shortcuts Old Content - visit altium.com/documentation Modified by on 13-Sep-2017 Parent article: Shortcut Keys PCB Editor Shortcuts + E + B + PAGE UP + PAGE DOWN Cycle through three routing

More information

PADS-PowerPCB 4 Tutorial (with Blazeroute)

PADS-PowerPCB 4 Tutorial (with Blazeroute) PADS-PowerPCB 4 Tutorial (with Blazeroute) PADS-PowerPCB is the ultimate design environment for complex, high-speed printed circuit boards. PROCEDURE FOR SIMULATION IN SCHEMATICS 1. Importing Design Data

More information

Lesson 2: Managing the OrCAD and Allegro PCB Editor Work Environment

Lesson 2: Managing the OrCAD and Allegro PCB Editor Work Environment 2 Lesson 2: Managing the OrCAD and Allegro PCB Editor Work Environment Learning Objectives In this lesson you will: Control the color and visibility of objects Create and use scripts Use the Control Panel

More information

Lesson 7: Setting Design Constraints

Lesson 7: Setting Design Constraints 7 Lesson 7: Setting Design Constraints Learning Objectives In this lesson you will: Explore the design rule system and apply design rules for physical and spacing dimensions Add, change, and delete properties

More information

Lesson 1: User Interface

Lesson 1: User Interface 1 Lesson 1: User Interface Learning Objectives In this lesson you will: Identify the user interface components of OrCAD PCB Editor. Navigate within the PCB Editor window and access UI features to tailor

More information

Simulation examples Chapter overview

Simulation examples Chapter overview Simulation examples 2 Chapter overview The examples in this chapter provide an introduction to the methods and tools for creating circuit designs, running simulations, and analyzing simulation results.

More information

Working with the PADS to Allegro Translator

Working with the PADS to Allegro Translator Product Version SPB 16.6 Rev 2.0 March 10, 2015 Copyright Statement 2015 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence and the Cadence logo are registered trademarks of Cadence Design

More information

How to use EquationEditor_Examples.txt with the Equation Editor function on the ENA Network Analyzer

How to use EquationEditor_Examples.txt with the Equation Editor function on the ENA Network Analyzer How to use EquationEditor_Examples.txt with the Equation Editor function on the ENA Network Analyzer Document revision: 01.00 Document history: 2008/11/25 First release This document explains how to use

More information

Cadence. High-Speed PCB Layout Flow. Jean-Michel Sainson IT-PS/EAS. (Electronic Applications Support)

Cadence. High-Speed PCB Layout Flow. Jean-Michel Sainson IT-PS/EAS. (Electronic Applications Support) Cadence High-Speed PCB Layout Flow Jean-Michel Sainson IT-PS/EAS (Electronic Applications Support) 1 Cadence High-Speed PCB Layout Flow - CERN Technical Training 17 June 2003 - Training Description Objective

More information

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture.

Getting started. Starting Capture. To start Capture. This chapter describes how to start OrCAD Capture. Getting started 1 This chapter describes how to start OrCAD Capture. Starting Capture The OrCAD Release 9 installation process puts Capture in the \PROGRAM FILES\ORCAD\CAPTURE folder, and adds Pspice Student

More information

Lesson 2: Managing the PCB Editor Work Environment

Lesson 2: Managing the PCB Editor Work Environment 2 Lesson 2: Managing the PCB Editor Work Environment Learning Objectives In this lesson you will: Control the color and visibility of objects. Create and use scripts. Use the Control Panel to locate board

More information

Using OrCAD Layout Plus A Simple Guide

Using OrCAD Layout Plus A Simple Guide Using OrCAD Layout Plus A Simple Guide Written by Jose Cabral September 2006 Revised by Nithin Raghunathan 1 SKETCH THE CIRCUIT YOU WISH TO LAYOUT SKETCH THE LAYOUT COM J1 OUTPUT +12 COM -12 COM INPUT

More information

Orcad Layout Plus Tutorial

Orcad Layout Plus Tutorial Orcad Layout Plus Tutorial Layout Plus is a circuit board layout tool that accepts a layout-compatible circuit netlist (ex. from Capture CIS) and generates an output layout files that suitable for PCB

More information

C Allegro Package Designer Flows

C Allegro Package Designer Flows 1 Allegro User Guide: Getting Started with Physical Design Product Version 16.6 October 2012 C Allegro Package Designer Flows This appendix presents design flows that illustrate the use of the Allegro

More information

1. Working with PSpice:

1. Working with PSpice: Applied Electronics, Southwest Texas State University, 1, 13 1. Working with PSpice: PSpice is a circuit simulator. It uses the Kirchhoff s laws and the iv-relation of the used components to calculate

More information

How to Get Started. Figure 3

How to Get Started. Figure 3 Tutorial PSpice How to Get Started To start a simulation, begin by going to the Start button on the Windows toolbar, then select Engineering Tools, then OrCAD Demo. From now on the document menu selection

More information

Allegro Constraint Manager: Advanced Constraints Tutorial. Product Version December 2007

Allegro Constraint Manager: Advanced Constraints Tutorial. Product Version December 2007 Allegro Constraint Manager: Advanced Constraints Tutorial Product Version 16.01 December 2007 1991 2007 Cadence Design Systems, Inc. All rights reserved. Portions Apache Software Foundation, Sun Microsystems,

More information

CADSOFT EAGLE TUTORIAL

CADSOFT EAGLE TUTORIAL CADSOFT EAGLE TUTORIAL IEEE OPS 2013-2014 By Shubham Gandhi, Kamal Kajouke 1 Table of Contents 1. Introduction 1.1 Getting Started 1.2 Eagle Schematic Editor 1.3 The Toolbar and Command Bar 1.4 Importing

More information

IPL Netlist formatter specification

IPL Netlist formatter specification Supported versions Capture (CIS) V9.2x IPL Netlist formatter specification Installation instructions 1. Insert disk 1 and run Setup.exe from the disk. If you've downloaded the netlist formatter then unzip

More information

Complete Tutorial (Includes Schematic & Layout)

Complete Tutorial (Includes Schematic & Layout) Complete Tutorial (Includes Schematic & Layout) Download 1. Go to the "Download Free PCB123 Software" button or click here. 2. Enter your e-mail address and for your primary interest in the product. (Your

More information

Orcad Tutorial: Oscillator design and Simulation Schematic Design and Simulation in Orcad Capture CIS Full Version

Orcad Tutorial: Oscillator design and Simulation Schematic Design and Simulation in Orcad Capture CIS Full Version Orcad Tutorial: Oscillator design and Simulation Prof. Law Schematic Design and Simulation in Orcad Capture CIS Full Version Notation: To simplify what one should click to perform a task, the following

More information

OrCAD PCB Editor Menu comparison

OrCAD PCB Editor Menu comparison A Parallel Systems Technical Note OrCAD PCB Editor menu comparison OrCAD PCB Editor Menu comparison Cadence introduced a new easy to use menu in the 16.6-2015 (Hotfix S051) release. This new menu structure

More information

Lesson 1: Getting Started with OrCAD Capture

Lesson 1: Getting Started with OrCAD Capture 1 Lesson 1: Getting Started with OrCAD Capture Lesson Objectives Discuss design flow using OrCAD Capture Learn how to start OrCAD Capture The OrCAD Capture Start Page Open an existing Project Explore the

More information

Lesson 13: Other Tools in OrCAD Capture

Lesson 13: Other Tools in OrCAD Capture Lesson 13: Other Tools in OrCAD Capture Lesson Objectives After you complete this lesson you will be able to: Add inter-sheet signal references Create a cross reference report (Optional) Generate a Bill

More information

Files and Folders What are Files and Folders Files: This is the Data, Images, and things the user makes

Files and Folders What are Files and Folders Files: This is the Data, Images, and things the user makes Files and Folders What are Files and Folders Files: This is the Data, Images, and things the user makes Folders: where the Data, Images and things are stored in the computer. Some people call Folders Directories.

More information

EE 210 Lab Assignment #2: Intro to PSPICE

EE 210 Lab Assignment #2: Intro to PSPICE EE 210 Lab Assignment #2: Intro to PSPICE ITEMS REQUIRED None Non-formal Report due at the ASSIGNMENT beginning of the next lab no conclusion required Answers and results from all of the numbered, bolded

More information

Introduction to PCB Design with EAGLE. Jianan Li

Introduction to PCB Design with EAGLE. Jianan Li Introduction to PCB Design with EAGLE Jianan Li Install EAGLE Download EAGLE: http://www.cadsoftusa.com/download-eagle/ Choose Run as Freeware during installation Create a New Project Launch EAGLE and

More information

OrCad & Spice Tutorial By, Ronak Gandhi Syracuse University

OrCad & Spice Tutorial By, Ronak Gandhi Syracuse University OrCad & Spice Tutorial By, Ronak Gandhi Syracuse University Brief overview: OrCad is a suite of tools from Cadence for the design and layout of circuit design and PCB design. We are currently using version

More information

Lesson 12: Preparing for Post Processing

Lesson 12: Preparing for Post Processing 12 Lesson 12: Preparing for Post Processing Learning Objectives In this lesson you will: Rename reference designators on the board design Backannotate changes made in the OrCAD and Allegro PCB Editor to

More information

Introduction to NI Multisim & Ultiboard

Introduction to NI Multisim & Ultiboard George Washington University School of Engineering and Applied Science Electrical and Computer Engineering Department Introduction to NI Multisim & Ultiboard Dr. Amir Aslani 8/20/2017 2 Outline Design

More information

Lesson 17: Building a Hierarchical Design

Lesson 17: Building a Hierarchical Design Lesson 17: Building a Hierarchical Design Lesson Objectives After you complete this lesson you will be able to: Explore the structure of a hierarchical design Editing the Training Root Schematic Making

More information

EAGLE 6.x.x. University of Applied Sciences Ravensburg-Weingarten. EAGLE Tutorial. Author: Christian Schmid

EAGLE 6.x.x. University of Applied Sciences Ravensburg-Weingarten. EAGLE Tutorial. Author: Christian Schmid University of Applied Sciences Ravensburg-Weingarten EAGLE Tutorial EAGLE 6.x.x Author: Christian Schmid christian.schmid@hsweingarten.de Author: Martin Meier martin.meier@hs-weingarten.de September 30,

More information

TUTORIAL SESSION Technical Group Hoda Najafi & Sunita Bhide

TUTORIAL SESSION Technical Group Hoda Najafi & Sunita Bhide TUTORIAL SESSION 2014 Technical Group Hoda Najafi & Sunita Bhide SETUP PROCEDURE Start the Altium Designer Software. (Figure 1) Ensure that the Files and Projects tabs are located somewhere on the screen.

More information

How to Back Annotate in ORCAD after re-sequencing is done in Allegro Layout Baykal Technology, Inc. Emre Uludemir, Founder

How to Back Annotate in ORCAD after re-sequencing is done in Allegro Layout Baykal Technology, Inc. Emre Uludemir, Founder Technical Paper #2: How to Back Annotate in ORCAD after re-sequencing is done in Allegro Layout. 2007 Baykal Technology, Inc. Emre Uludemir, Founder Table of Contents: Page Introduction:... 2 Why do we

More information

Profile Modeler Profile Modeler ( A SuperControl Product )

Profile Modeler Profile Modeler ( A SuperControl Product ) Profile Modeler ( A SuperControl Product ) - 1 - Index Overview... 3 Terminology... 3 Launching the Application... 4 File Menu... 4 Loading a File:... 4 To Load Multiple Files:... 4 Clearing Loaded Files:...

More information

Welcome to Constraint Manager

Welcome to Constraint Manager 1 Allegro Constraint Manager User Guide 1 Welcome to Constraint Manager Topics in this chapter include The Allegro Constraint Manager Information Set What is Allegro Constraint Manager? Accessing Constraint

More information

Converting MicroSim PCBoards Designs to OrCAD Layout Designs. Quick Start

Converting MicroSim PCBoards Designs to OrCAD Layout Designs. Quick Start Converting MicroSim PCBoards Designs to OrCAD Layout Designs Quick Start Copyright 1998 OrCAD, Inc. All rights reserved. Trademarks OrCAD, OrCAD Layout, OrCAD Express, OrCAD Capture, OrCAD PSpice, and

More information

Lesson 2: DC Bias Point Analysis

Lesson 2: DC Bias Point Analysis 2 Lesson 2: DC Bias Point Analysis Lesson Objectives After you complete this lesson you will be able to: Create a simulation profile for DC Bias analysis Netlist the design for simulation Run a DC Bias

More information

FlowCAD Schweiz AG. Tel Fax STANDARD PROFESSIONAL ALLEGRO. Licensing httpfloating Networked License

FlowCAD Schweiz AG. Tel Fax STANDARD PROFESSIONAL ALLEGRO. Licensing httpfloating Networked License Licensing Floating Networked License : 12 Months Maintenance Support Included In Purchase Price SCHEMATIC ENTRY + DATA MANAGEMENT Graphical, flat and hierarchical page editor and Picture block hierarchy

More information

PSpice with Orcad 10

PSpice with Orcad 10 PSpice with Orcad 10 1. Creating Circuits Using PSpice Tutorial 2. AC Analysis 3. Step Response 4. Dependent Sources 5. Variable Phase VSin Source Page 1 of 29 Creating Circuits using PSpice Start Orcad

More information

Creating Xnets for Resistor Packs in Allegro PCB Editor. Product Version SPB16.6 April 2, 2014

Creating Xnets for Resistor Packs in Allegro PCB Editor. Product Version SPB16.6 April 2, 2014 Creating Xnets for Resistor Packs in Allegro PCB Editor Product Version SPB16.6 April 2, 2014 Copyright Statement 2014 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence and the Cadence

More information

Exercise: Use the Drawing Tools and the Library Toolbar

Exercise: Use the Drawing Tools and the Library Toolbar Exercise: Use the Drawing Tools and the Library Toolbar Purpose Learn how to use standard descriptors. Learn how to connect them to IGSS objects. Learn how to use the Library toolbar. Duration Max. 30

More information

Microsoft PowerPoint and Digital Photos

Microsoft PowerPoint and Digital Photos Microsoft PowerPoint and Digital Photos This exercise will give you a basic understanding of Microsoft PowerPoint presentation software. You will create a 3-slide document by following the instructions

More information

xsignal Wizard The xsignals Wizard Modified by on 13-Sep-2017

xsignal Wizard The xsignals Wizard Modified by on 13-Sep-2017 xsignal Wizard Old Content - visit altium.com/documentation Modified by on 13-Sep-2017 The xsignals feature allows the designer to cluster multiple nets into a single signal, which is referred to as an

More information

Stepwise instructions for getting started

Stepwise instructions for getting started Multiparameter Numerical Medium for Seismic Modeling, Planning, Imaging & Interpretation Worldwide Tesseral Geo Modeling Tesseral 2D Tutorial Stepwise instructions for getting started Contents Useful information...1

More information

Eagle use in Prototyping

Eagle use in Prototyping MICHIGAN STATE UNIVERSITY COLLEGE OF ENGINEERING Eagle use in Prototyping Eleazar Gutierrez 4/3/2015 Facilitator: Dr. Binseng Wang Design Team 12 Sponsor: MSU Solar Car Team Abstract In the development

More information

Osmond Tutorial. First Page / J C Chavez / / Osmond Tutorial

Osmond Tutorial. First Page / J C Chavez / / Osmond Tutorial Osmond Tutorial Draft Version corresponding to Osmond PCB Design Version 1.0b2 November 30, 2002 J C Chavez http://www.swcp.com/~jchavez/osmond.html jchavez@swcp.com First Page / J C Chavez / jchavez@swcp.com

More information

Lab 1: Analysis of DC and AC circuits using PSPICE

Lab 1: Analysis of DC and AC circuits using PSPICE Lab 1: Analysis of DC and AC circuits using PSPICE 1. Objectives. 1) Familiarize yourself with PSPICE simulation software environment. 2) Obtain confidence in performing DC and AC circuit simulation. 2.

More information

Interactive 3D Measurement Tool

Interactive 3D Measurement Tool Published on Online Documentation for Altium Products (https://www.altium.com/documentation) Home > 3D Measurements Using Altium Documentation Modified by Jason Howie on Apr 11, 2017 Interactive 3D Measurement

More information

TT Graph (for Windows) Manual Table of Contents

TT Graph (for Windows) Manual Table of Contents TT Graph (for Windows) Manual Table of Contents Introduction...2 Chapter One: Installing TTGraph For Windows...3 Windows 95...3 Windows 3.1x...5 Chapter Two: Connection to Tonnage Monitors...6 Connect

More information

User Guide for Embest IDE for ARM7. By Dr. Ricky WH Lau

User Guide for Embest IDE for ARM7. By Dr. Ricky WH Lau User Guide for Embest IDE for ARM7 By Dr. Ricky WH Lau (1) Create a New Project: Select New Workspace from File menu. A Create a New Project dialog window will pop up. Enter the contents as shown in Figure

More information

Instructions for designing the HelloWorld circuit board using Autodesk Eagle 8.6.0

Instructions for designing the HelloWorld circuit board using Autodesk Eagle 8.6.0 Instructions for designing the HelloWorld circuit board using Autodesk Eagle 8.6.0 FABLAB BRIGHTON 2018 These instructions take you through step-by-step the process of creating the full circuit board design

More information

DensiShield Cable Assembly. InfiniBand Standard CX4 Standard

DensiShield Cable Assembly. InfiniBand Standard CX4 Standard DensiShield Cable Assembly InfiniBand Standard CX4 Standard SI-2008-06-001 Revision 1 August-21-2008 Introduction The purpose of these tests was to show compliance of FCI s 26 AWG DensiShield cable assemblies

More information

Questions? Page 1 of 22

Questions?  Page 1 of 22 Learn the User Interface... 3 Start BluePrint-PCB... 4 Import CAD Design Data... 4 Create a Panel Drawing... 5 Add a Drill Panel... 5 Selecting Objects... 5 Format the Drill Panel... 5 Setting PCB Image

More information

Introduction...2 Prerequisites...2 What is a Global Net?...2 Net Scope...2 Defining Global Nets...3 Establishing Connectivity...5 Propagation...

Introduction...2 Prerequisites...2 What is a Global Net?...2 Net Scope...2 Defining Global Nets...3 Establishing Connectivity...5 Propagation... AppNote 10770 A P P N O T E S SM Managing Global Nets in DxDesigner 2007 By: Robert Davies Last Modified: November 6, 2009 Table of contents Introduction...2 Prerequisites...2 What is a Global Net?...2

More information

HyperLynx 教程. Pre-layout (LineSim):

HyperLynx 教程. Pre-layout (LineSim): HyperLynx 教程 Pre-layout (LineSim): Signal-integrity and EMC analysis Crosstalk and differential-signal analysis Analysis for gigabit-per-second, SERDES-based designs Stackup and impedance planning Post-layout

More information

Developer s Tip Print to Scale Feature in Slide

Developer s Tip Print to Scale Feature in Slide Developer s Tip Print to Scale Feature in Slide The latest update to Slide 5.0 brings a number of improvements related to printing functionality, giving the user greater control over printed output. Users

More information

Electronic Design Project 2 Cadence OrCAD PCB Designer 16.6

Electronic Design Project 2 Cadence OrCAD PCB Designer 16.6 Electronic Design Project 2 Cadence OrCAD PCB Designer 16.6 Professor John H. Davies 2013 October 12 Objectives After completing these laboratories, you should be able to: analyse by hand, capture, simulate

More information

Allegro PCB Editor with Performance Option or higher. The Reuse function can be used to create panels in PCB Editor

Allegro PCB Editor with Performance Option or higher. The Reuse function can be used to create panels in PCB Editor Title: Product: Summary: Panelization with Reuse Allegro PCB Editor with Performance Option or higher The Reuse function can be used to create panels in PCB Editor Author/Date: Beate Wilke / 07.02.2011

More information

1) Log on to the computer using your PU net ID and password.

1) Log on to the computer using your PU net ID and password. CS 150 Lab Logging on: 1) Log on to the computer using your PU net ID and password. Connecting to Winter: Winter is the computer science server where all your work will be stored. Remember, after you log

More information

USB-COMi-TB USB to Industrial Single RS-422 / 485 Adapter Manual. Specifications and Features

USB-COMi-TB USB to Industrial Single RS-422 / 485 Adapter Manual. Specifications and Features USB-COMi-TB USB to Industrial Single RS-422 / 485 Adapter Manual The USB-COMi-TB USB-to-Industrial Single RS-422/485 Adapter is designed to make industrial communication port expansion quick and simple.

More information

Orcad Pcb Designer Orcad Pcb Designer With Pspice

Orcad Pcb Designer Orcad Pcb Designer With Pspice We have made it easy for you to find a PDF Ebooks without any digging. And by having access to our ebooks online or by storing it on your computer, you have convenient answers with orcad pcb designer orcad

More information

Moving to Altium Designer from Pads Logic and PADS Layout

Moving to Altium Designer from Pads Logic and PADS Layout Moving to Altium Designer from Pads Logic and PADS Layout Old Content - visit altium.com/documentation Modified by on 13-Sep-2017 Translating complete PADS Logic and PADS Layout designs, including PCB,

More information

Technical Bulletin DS2

Technical Bulletin DS2 Product: DS-Matrix Software TB 221 Date: July 25 th, 2012 Subject: How to Upgrade DS-Matrix Software This document describes the procedure for upgrading the DS-Matrix software. If you are upgrading from

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

Defining Board Regions and Bending Lines

Defining Board Regions and Bending Lines Defining Board Regions and Bending Lines Old Content - visit altium.com/documentation Modified by on 29-Nov-2016 Related Videos Bending Lines Layer Stack Regions A Board Region is the term used to describe

More information

Cadence SPB: What s New in 16.6 QIR 8 (HotFix 38)

Cadence SPB: What s New in 16.6 QIR 8 (HotFix 38) Cadence SPB: What s New in 16.6 QIR 8 (HotFix 38) This document describes the new features and enhancements in Cadence SPB products in 16.6 Quarterly Incremental Release (QIR) 8- HotFix38. The products

More information

Cadence SPB: What s New in 16.6 Quarterly Incremental Release 003

Cadence SPB: What s New in 16.6 Quarterly Incremental Release 003 Cadence SPB: What s New in 16.6 Quarterly Incremental Release 003 This document describes the new features and enhancements in Cadence SPB products in 16.6 Quarterly Incremental Release (QIR) 3. The products

More information

Using the ispxpga Floorplanner

Using the ispxpga Floorplanner Using the ispxpga Floorplanner Table of Contents USING THE ISPXPGA FLOORPLANNER...3 Task 1: Open the Design...4 Task 2: Open a Floorplanner Design File...5 Task 3: Tour the Graphical User Interface - The

More information

Orcad Layout Quick Reference

Orcad Layout Quick Reference Orcad Layout Quick Reference Shortcut keys Toolbar Command mapping from Layout v7.10 to Layout Release 9 Cadence PCB Systems Division (PSD) offices PSD main office (Portland) (503) 671-9500 PSD Irvine

More information

Placement & Routing. Lab 8. Placing Parts

Placement & Routing. Lab 8. Placing Parts Placement & Routing Lab 8 Placing Parts 121 Placement and Routing Lab 8: Placing Parts This lesson will show you how to place parts in PADS Layout. Placement can be driven from the schematic or directly

More information

Pickup Gaussmeter v.3.0

Pickup Gaussmeter v.3.0 Pickup Gaussmeter v.3.0 Features: Range +/- 5600 gauss (with AD22151 sensor) or +/- 1700 Gauss (with A1302 sensor) PCB for main unit and for a double-sensor (A1302-AD22151) double-face probe Normal, peak-hold

More information

PCB Design utilizing Cadence Software. Application Note

PCB Design utilizing Cadence Software. Application Note PCB Design utilizing Cadence Software Application Note Kyle Schultz 11-9-11 ECE 480 Design Team 5 Keywords: Schematic, PCB, Fabrication, Cadence, Design Entry CIS, Allegro Table of Contents Abstract 1

More information

Developing Interactive Lectures with PowerPoint 2007

Developing Interactive Lectures with PowerPoint 2007 Intermediate PowerPoint Developing Interactive Lectures with PowerPoint 2007 [Type the document subtitle] 2 P age Table of Contents Customize Slide Theme and Background... 2 Apply Animated Effects... 3

More information

OrCAD & Allegro V Comparaison des produits PCB Designer

OrCAD & Allegro V Comparaison des produits PCB Designer & V16.6-2015 Comparaison des produits SCHEMATIC ENTRY + DATA MANAGEMENT Graphical, flat and hierarchical page editor and Picture block hierarchy Capture Market place for Apps, Models, Symbols and more

More information

Updating Reader Firmware

Updating Reader Firmware SWH-xxxx Readers Updating Reader Firmware Version C0 Document Part Number UM-096 July 2012 OVERVIEW This document describes how to download new firmware to Software House SWH-4xxx readers. The procedure

More information