Implementation of single bit Error detection and Correction using Embedded hamming scheme

Size: px
Start display at page:

Download "Implementation of single bit Error detection and Correction using Embedded hamming scheme"

Transcription

1 Implementation of single bit Error detection and Correction using Embedded hamming scheme Anoop HK 1, Subodh kumar panda 2 and Vasudeva G 1 M.tech(VLSI & ES), BNMIT, Bangalore 2 Assoc Prof,Dept of ECE, BNMIT, Bangalore 3 Project consultant, Knowx innovations Abstract-Multiple bit upsets due to radiation-induced soft errors are a major concern in Nano scale technology nodes. Once such errors occur in the configuration frames of an FPGA device, they permanently affect the functionality of the mapped design. Existing solutions exploit coding techniques with considerably high overhead to protect configuration frames against multiple bit upsets. Our proposed scheme does not require any changes to the FPGA architecture. Experimental results on a Xilinx SPARTAN 3 FPGA device show that the proposed scheme achieves error recovery coverage of 99.30% with only 3% resource occupation while the mean time to repair is comparable with previous scheme. Hamming and embedded hamming schemes are proposed from the literature survey and memory overhead is reduced in order toachieve the successful implementation of error detection and correction codes. Keywords-Hammingcode, Embedded hamming code, Xilinx,moore s law,spartan3 I. INTRODUCTION Due to high density[1], short time-to-market, programmability, and cost-efficiency, SRAMbased FPGAs are widely used in a variety of application domains[4]. However, increasing transistor count per chip (i.e. Moore s law),[2] aggressive transistor downscaling, and reduced operating voltage result in an exponential growth in soft error rate (SER) of digital circuits in the past years [1, 2]. Considering the proliferation of FPGA devices in various safety and mission-critical applications, it is important to increase their immunity to this kind of errors[5]. To meet performance and power demands, FPGAs are generally fabricated using the most advanced technology nodes. Recently, FPGAs based on 14nm technology have been announced and more dense integration schemes such as FinFET and 3D die stacking have been explored [3, 4]. In such small device geometries, a single particle strike might affect multiple adjacent cells in a memory array resulting in a Multiple Bit Upset[3] (MBU) [5]. Since the MBU rate in nanoscale technology nodes is comparable with the SEU rate [6, 7], an appropriate technique is required to correct multiple errors in memory arrays. Particularly, SRAM-based FPGAs are more prone to soft errors since a radiation strike[6] in a configuration frame1 has a permanent effect on the functionality of the mapped design. Since the configuration frames constitute the majority of SRAM cells in FPGA devices (e.g. more than 80% for Xilinx SPARTAN 3 device), the protection of configuration frames against MBUs is of decisive importance. Several approaches have been proposed to address the grow- 1A configuration frame is the smallest addressable portion of a configuration memory Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed[8] for profit or commercial advantage and that copies bear this notice and the full citation on the first page.soft error concern in FPGA configuration frames. The main objective of these approaches is to reduce error latency and to prevent error accumulation within configuration frames. High overhead modular redundancy [8] is a conventional approach to mitigate soft errors in both configuration frames and functional All rights Reserved 88

2 However, accumulated errors in both data[7] and configuration bits significantly limit the mean time to failure of such techniques [9]. Another approach is to optimize the storage element circuitry of configuration frames for soft errors as detailed in [10, 11]. However, such hardening techniques are not implemented in nowadays commercial FPGA-devices because of their high area overheads. Hence, a technique is required to correct erroneous configuration frames during operation. The combination of configuration scrubbing and Error Correction Codes (ECCs)[10] is an effective solution to correct soft error induced permanent errors in configuration bits. Single Event Upset (SEU) tolerant scrubbing [12, 13] is very well studied in the literature, and Xilinx and Altera have already included it in their design flows [14, 15]. There are also several schemes to explicitly address MBUs in FPGAs. The scheme presented in [16] exploits a two dimensional hamming code to correct MBUs in each configuration frame. In [17], another scheme based on interleaved single error correction hamming code has been proposed which is able to correct up to four bit adjacent errors. Furthermore, Xilinx offers a soft error mitigation controller (IP block) based on ECC and Cyclic Redundancy Code (CRC) which can correct up to two adjacent erroneous cells per configuration frame [18]. Recent experiments show that as technology scales, the number of affected bits by an MBU incident grows as well [6]. Therefore, a more complicated ECC with very high area overhead is required by the system to efficiently address this increase in MBU incidence. Based on the fact that error detection can be done at much lower cost than error correction [19], in this project, employs a low-cost interleaved two dimensional parity technique to detect MBUs in the configuration frames of the FPGA. The interleaving distance is optimized based on the actual MBU patterns and their respective probabilities obtained from a detailed technology dependent analysis. Once an MBU is detected, by taking advantage of erasure codes and using the data stored in a redundant frame, the correct contents of the affected configuration frame is reconstructed.] II. RELATED WORK 2.1 FPGA Configuration Frames: The configuration memory of an FPGA device is organized into configuration frames which are the smallest addressable units and constitute the majority of SRAM cells in FPGA devices. The number and the size of the configuration frames vary from one device to another. In Xilinx SPARTAN 3 device which is used as a case study in this work, there are 28,464 configuration frames, each comprising of bit words (total of 72,049 Kb) whereas there are only 461 of 36 Kb BRAMs. Thus, for this device, 81.28% of the total SRAM cells belong to the configuration frames. For a given design, the FPGA device utilizes only a subset of the total available configuration frames and these are known as sensitive frames. Any errors occurring in sensitive frames of the device can lead to a system malfunction. 2.2 MBU Patterns: A fair quantification of the MBU correction capability of the proposed scheme requires detailed information about the possible MBU patterns and their respective occurrence probabilities. In this regard, performed a 3D-TCAD-based neutron particle strike simulation using a commercial soft error evaluation tool [20]. The SPICE netlist and the layout of the memory unit as well as the radiation environment information are provided as inputs to this tool which computes the distribution of generated current pulses for each cell according to a nuclear database. Then, the obtained current pulses are injected in the SPICE netlist to extract the SEU and MBU rate. Using this tool, obtained the occurrence probabilities of neutron-induced MBU patterns on an SRAM memory designed for a 45 nm technology in the terrestrial environment. For this experiment, the neutron energy distribution is specified by the JEDEC89a standard [21]. 0% 10% 20% 30% 40% 50% 60% Occurrence Probability MBU Size (a) 51.72% 9.38% 4.94% 3.04% 3.72% 0.97% 0.97% 1.69% 1.69% 1.35% 0.94% 0.94% 0.25% 0.25% 0.21% 0.23% 0.29% 0.18% 0.18% 0.28% 0.28% 0.28% 0.07% 0.07% 0.19% All rights Reserved 89

3 0.18% 0.18% 0.15% 0.07% 0.07% 0.17% 0.18% 0.38% 0.31% 0.68% (b) a) MBU Size Distribution b) Some of MBU Patterns with High Occurrence As it can be seen, almost half of the SRAM soft errors in the employed 45 nm technology result in an MBU incident. The largest MBU size observed in this experiment was 24. For smaller technology nodes, this ratio and also largest MBU size further increases [6]. This clearly shows the importance of protecting configuration frame against MBU incidents. 2.3 Erasure Codes: Our proposed scheme is based on the concept of erasure codes [22, 23]. An optimal erasure code is a data reconstruct Encoding Decoding m data blocks n redundant blocks m+n blocks Figure 1 Figure 1: Encoding and Decoding of Erasure codes Encoding and Decoding of Erasure Codes, technique which transforms m blocks into m + n blocks such that the original m blocks can be recovered from an arbitrary set of m blocks among m+n coded blocks (see Figure 2). This kind of data recovery technique is widely used in reliable storage devices (hard disks and CDs) [10], signal transfer protocols, and multimedia multi-casting [11]. Variety of erasure codes with different area overhead, recovery coverage, and encoding/decoding complexity are presented in the literatures [14]. The area overhead of an erasure code is defined as the ratio of redundant blocks to data blocks (i.e. n/m). The recovery coverage of an erasure code is defined as number of erasures that could be tolerated. For optimal erasure codes, the recovery coverage is equal to the number of redundant blocks (i.e. n). Erasure codes are not designed to detect or correct errors rather to recover the original blocks when a subset of blocks is erased (i.e. not available). However, once an error is detected in some blocks, by assuming that those blocks are erased, the original blocks could be recovered by means of an erasure code. III.DESIGN AND ANALYSIS 3.1 Embedded Hamming Code In order to illustrate the technique of embedded hamming code, a simpler technique of embedded parity code is first explained. A parity bit is a check bit that represents whether the number of ones in the frame is even (p e ) or odd (p o ). In a traditional parity code, the parity bit is appended after the frame. However, in the technique of embedded parity code, the parity of the essential bits is stored in one of the non-essential bits of the same frame. This converts the entire frame into a parity compliant code since any single bit error in the frame can be detected by computing its parity. For the rest of the examples illustrated in this section, f i and f i M shown in Figure 3 are used. Both these frames are combined into one frame (f + ) for easier comprehension, where the non-essential bits are represented by don t care bits X. In order to apply embedded parity code to f +, p e for the essential bits is calculated. p e = 1 in this case since there are an odd number of ones (5) in the essential bits. This value is then stored in one of the non essential bits (X 1 in this example) while the other non-essential bits are set to 0 as shown in Figure 3. The entire frame f + is now parity compliant. When a bit-flip occurs, the error can be detected as the p e of the frame changes to All rights Reserved 90

4 Extending the same concept used in embedded parity code, an embedded hamming code manipulates the non-essential bits of a frame such that the entire frame becomes hamming code compliant. A typical hamming code consists of a number of data bits (d i ) with parity bits (p i ) embedded in indices (ix) that are powers of two as shown in Figure 4. These parity bits are computed by choosing a different set of data bits for each parity bit as specified by a hamming check matrix (H) [11]. Each row of H corresponds to a parity bit while the columns specify whether a data bit is included in the parity bit of that row. Fig 2 hamming check matrix Fig 3-error detection & correction using embedded hamming code For the H shown in Figure 4, the parity bit p 1 of f i isgiven by p 1 = d 1 d 2 d 4 d 5 d 7 d 9 d 11. Other parity bits are computed in a similar manner according to H. For the example frame f +, computing the values of the parity bits using H, results in a set of XOR equations with the different non-essential bits as shown below. Solving these equations gives the values of the non-essential bits that make the frame hamming code compliant. For the example considered, we obtain X 1 = X 2 = X 4 = X 5 =X 6 = X 7 = X 8 = 0 and X 3 = 1. Figure 5 shows the frame f + before and after embedding. Algorithm 1 illustrates the steps needed to embed the hamming code into a configuration frame. The algorithm essentially tries to find a suitable value for the non-essential bits, such that the entire frame becomes hamming compliant. Algorithm for embedded hamming Lines 1-4 compute the required for f i. The hamming check matrix H (dimensions ) is then generated (line 5) in order to compute the parity bits of the hamming code [11]. The column vector b, which is then computed using H and f i (line 6), represents the All rights Reserved 91

5 of the linear equality constraints of the hamming code. The function repmat in line 7 replicates the mask frame f i M for rows so that it can be XORed with H in order to obtain the coefficients of the linear equality constraints (tmp) of the hamming code. Line 8 selects only those columns of matrix tmp which correspond to non-essential bits of f i. Finally the values of the non-essential bits are computed by solving the binary linear equation function solve lineq(a; b). 3.2ESSENTIAL AND NON-ESSENTIAL FRAMES: Essential bits are present in the circuit whereas non-essential bits will not be present in the circuit. Example for ess- Mask bitstream whereas for non-ess-the difference of the bits in FPGA is taken Frame utilization ratio: It is the ratio of essential bits to the total number of bits in a frame. 3.3 Bit Interleaving If the embedded hamming code was applied to the entire frame, only SBUs can be corrected, as hamming code is capable of correcting only one error. Bit interleaving has been considered in combination with embedded hamming to increase the number of errors than can be detected and corrected. Fig 4- bit interleaving Fig 5-overall process of kit implementation IV.RESULTS AND DISCUSSIONS The proposed technique is implemented on a Xilinx SPARTAN 3 device as a proof of concept. In this section, explain the implementation flow for this device. Then, discuss the area overhead and recovery time trade-off in details and quantitatively compare the proposed scheme with the previous schemes. Fig 6 RTL Schematic for embedded All rights Reserved 92

6 Fig 7 Single bit eror detection and correction The RTL Schematic for the proposed work is as shown in fig-6.it includes encoders and decoders along with hamming block,the code is written in Verilog,synthesized netlist is obtained from Xilinx tool and simulated using ISE Simulator. The simulation results are shown in fig-7 and fig 8. Fig 8. Multi bit error detection and correction using embedded hamming V.CONCLUSION & FUTURE SCOPE 5.1 CONCLUSION The proposed scheme is implemented as a generic soft core alongside with the user application and does not require any changes to the FPGA architecture. Compared to the previous approaches, our proposed scheme achieves the highest level of MBU protection at very low costs while the recovery time is negligible. The proposed scheme is implemented on Xilinx Spartan 3 device which incurs only 1% memory and 3% logic resource overhead. Moreover, the error correction latency is also very small (0.35 ms for 50 clusters). These confirm that the proposed scheme is a viable solution for MBU protection in FPGA configuration frames. The following objectives have been achieved : 1.Analysis of hamming encoder and decoder is done and simulated using Xilinx ISE simulator by achieving successful results. 2. The synthesized netlist which gives the first cut information about the embedded hamming code using SRAM was generated successfully and area,timing reports are generated. 5.2 FUTURE SCOPE There are wide range of coding techniques used in error control coding schemes. Hamming code and embedded hamming code forms an integral part in error control coding. These techniques can be used in future to solve linear equations depending on higher order and higher degree. Solving All rights Reserved 93

7 equations can also be done by numerical methods such as Euler s method,newton-raphson method, Regula-falsimethod,etc. these methods can solve the linear equation by calculating the forward difference and backward difference operators. But the number of iterations are limited and accuracy is less. Hence embedded hamming code technique can be used to solve these linear equations. REFERENCES [1] Dixit and A. Wood. The impact of new technology on soft error rates. In IRPS, [2] H. Kaul et al. Near-threshold Voltage (NTV) Design: Opportunities and Challeges. In DAC, [3] P. Dorsey. Xilinx stacked silicon interconnect technology delivers breakthrough FPGA capacity, bandwidth, and power efficiency. Xilinx White Paper: Virtex-7 FPGAs, [4] Altera Corp. Meeting the Performance and Power Imperative of the Zettabyte Era with Generation 10. Altera White Paper WP , [5] M. Ebrahimi et al. A layout-based approach for multiple event transient analysis. In DAC, pages , [6] E. Ibe et al. Impact of scaling on neutron-induced soft error in SRAMs from a 250 nm to a 22 nm design rule. TED, 57(7): , [7] D. Radaelli et al. Investigation of multi-bit upsets in a 150 nm technology SRAM device. TNS, 52(6): , [8] Carmichael. Triple module redundancy design techniques for Virtex FPGAs. Xilinx Application Note XAPP197, [9] M. Ebrahimi et al. Low-cost Scan chain-based technique to recover multiple errors in TMR systems. TVLSI, [10] S. Srinivasan et al. Improving soft-error tolerance of FPGA configuration bits. In ICCAD, [11] B.S. Gill et al. A new asymmetric SRAM cell to reduce soft errors and leakage power in FPGA. In DATE, [12] M. Berg et al. Effectiveness of internal versus external SEU scrubbing mitigation strategies in a Xilinx FPGA: Design, test, and analysis. TNS, 55(4), [13] A. Sari et al. Combining checkpointing and scrubbing in fpga-based real-time systems. In VTS, [14] L. Jones. Single event upset (SEU) detection and correction using Virtex-4 devices. Xilinx Application Note XAPP714, [15] Altera Corp. Enhancing Robust SEU Mitigation with 28-nm FPGAs. Altera White Paper WP , [16] S.P. Park et al. Soft-Error-Resilient FPGAs Using Built-In 2-D Hamming Product Code. TVLSI, 20(2), [17] M. Lanuzza et al. A self-hosting configuration management system to mitigate the impact of Radiation-Induced Multi- Bit Upsets in SRAM-based FPGAs. In ISIE, pages , [18] LogiCORE IP Soft Error Mitigation Controller v3.4. Product Guide PG036, [19] R.H. Morelos-Zaragoza. The Art of Error Correcting Coding. John Wiley & Sons, [20] E. Costenaro et al. A Practical All rights Reserved 94

Multiple Event Upsets Aware FPGAs Using Protected Schemes

Multiple Event Upsets Aware FPGAs Using Protected Schemes Multiple Event Upsets Aware FPGAs Using Protected Schemes Costas Argyrides, Dhiraj K. Pradhan University of Bristol, Department of Computer Science Merchant Venturers Building, Woodland Road, Bristol,

More information

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Hamid R. Zarandi,2, Seyed Ghassem Miremadi, Costas Argyrides 2, Dhiraj K. Pradhan 2 Department of Computer Engineering, Sharif

More information

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Vijay G. Savani, Akash I. Mecwan, N. P. Gajjar Institute of Technology, Nirma University vijay.savani@nirmauni.ac.in, akash.mecwan@nirmauni.ac.in,

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Wei Chen, Rui Gong, Fang Liu, Kui Dai, Zhiying Wang School of Computer, National University of Defense Technology,

More information

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX ENDREDDY PRAVEENA 1 M.T.ech Scholar ( VLSID), Universal College Of Engineering & Technology, Guntur, A.P M. VENKATA SREERAJ 2 Associate

More information

Generic Scrubbing-based Architecture for Custom Error Correction Algorithms

Generic Scrubbing-based Architecture for Custom Error Correction Algorithms Generic Scrubbing-based Architecture for Custom Error Correction Algorithms Rui Santos, Shyamsundar Venkataraman Department of Electrical & Computer Engineering National University of Singapore Email:

More information

A Low-Cost Correction Algorithm for Transient Data Errors

A Low-Cost Correction Algorithm for Transient Data Errors A Low-Cost Correction Algorithm for Transient Data Errors Aiguo Li, Bingrong Hong School of Computer Science and Technology Harbin Institute of Technology, Harbin 150001, China liaiguo@hit.edu.cn Introduction

More information

Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing

Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing Authors: Robert L Akamine, Robert F. Hodson, Brock J. LaMeres, and Robert E. Ray www.nasa.gov Contents Introduction to the

More information

EDAC FOR MEMORY PROTECTION IN ARM PROCESSOR

EDAC FOR MEMORY PROTECTION IN ARM PROCESSOR EDAC FOR MEMORY PROTECTION IN ARM PROCESSOR Mrs. A. Ruhan Bevi ECE department, SRM, Chennai, India. Abstract: The ARM processor core is a key component of many successful 32-bit embedded systems. Embedded

More information

Error Correction Using Extended Orthogonal Latin Square Codes

Error Correction Using Extended Orthogonal Latin Square Codes International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 9, Number 1 (2016), pp. 55-62 International Research Publication House http://www.irphouse.com Error Correction

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN 255 CORRECTIONS TO FAULT SECURE OF MAJORITY LOGIC DECODER AND DETECTOR FOR MEMORY APPLICATIONS Viji.D PG Scholar Embedded Systems Prist University, Thanjuvr - India Mr.T.Sathees Kumar AP/ECE Prist University,

More information

Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System

Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System Babu. M, Saranya. S, Preethy. V, Gurumoorthy. J Abstract: The size of integrated Circuits has developed rapidly and

More information

Outline. Trusted Design in FPGAs. FPGA Architectures CLB CLB. CLB Wiring

Outline. Trusted Design in FPGAs. FPGA Architectures CLB CLB. CLB Wiring Outline Trusted Design in FPGAs Mohammad Tehranipoor ECE6095: Hardware Security & Trust University of Connecticut ECE Department Intro to FPGA Architecture FPGA Overview Manufacturing Flow FPGA Security

More information

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Iswarya Gopal, Rajasekar.T, PG Scholar, Sri Shakthi Institute of Engineering and Technology, Coimbatore, Tamil Nadu, India Assistant

More information

Scrubbing Optimization via Availability Prediction (SOAP) for Reconfigurable Space Computing

Scrubbing Optimization via Availability Prediction (SOAP) for Reconfigurable Space Computing Scrubbing Optimization via Availability Prediction (SOAP) for Reconfigurable Space Computing Quinn Martin, Alan D. George NSF Center for High-Performance Reconfigurable Computing (CHREC) ECE Department,

More information

Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey

Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey Fine-Grain Redundancy Techniques for High- Reliable SRAM FPGA`S in Space Environment: A Brief Survey T.Srinivas Reddy 1, J.Santosh 2, J.Prabhakar 3 Assistant Professor, Department of ECE, MREC, Hyderabad,

More information

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology Analysis of Soft Error Mitigation Techniques for s in IBM Cu-08 90nm Technology Riaz Naseer, Rashed Zafar Bhatti, Jeff Draper Information Sciences Institute University of Southern California Marina Del

More information

Fault Tolerant Parallel Filters Based on ECC Codes

Fault Tolerant Parallel Filters Based on ECC Codes Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 597-605 Research India Publications http://www.ripublication.com Fault Tolerant Parallel Filters Based on

More information

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Single Event Upset Mitigation Techniques for SRAM-based FPGAs Single Event Upset Mitigation Techniques for SRAM-based FPGAs Fernanda de Lima, Luigi Carro, Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Informática - DELET Caixa Postal

More information

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Ch.Srujana M.Tech [EDT] srujanaxc@gmail.com SR Engineering College, Warangal. M.Sampath Reddy Assoc. Professor, Department

More information

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales SAN FRANCISCO, CA, USA Ediz Cetin & Oliver Diessel University of New South Wales Motivation & Background Objectives & Approach Our technique Results so far Work in progress CHANGE 2012 San Francisco, CA,

More information

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007 TU Wien 1 Fault Isolation and Error Containment in the TT-SoC H. Kopetz TU Wien July 2007 This is joint work with C. El.Salloum, B.Huber and R.Obermaisser Outline 2 Introduction The Concept of a Distributed

More information

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Kripa K B 1, Akshatha K N 2,Nazma S 3 1 ECE dept, Srinivas Institute of Technology 2 ECE dept, KVGCE 3 ECE dept, Srinivas Institute

More information

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes E. Jebamalar Leavline Assistant Professor, Department of ECE, Anna University, BIT Campus, Tiruchirappalli, India Email: jebilee@gmail.com

More information

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 Redundancy in fault tolerant computing D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 1 Redundancy Fault tolerance computing is based on redundancy HARDWARE REDUNDANCY Physical

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

Improved Error Correction Capability in Flash Memory using Input / Output Pins

Improved Error Correction Capability in Flash Memory using Input / Output Pins Improved Error Correction Capability in Flash Memory using Input / Output Pins A M Kiran PG Scholar/ Department of ECE Karpagam University,Coimbatore kirthece@rediffmail.com J Shafiq Mansoor Assistant

More information

Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat

Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat Jano Gebelein Infrastructure and Computer Systems in Data Processing (IRI) Frankfurt University Germany January 31st, 2012 Mannheim, Germany 1 Outline

More information

2 Asst Prof, ECE Dept, Kottam College of Engineering, Chinnatekur, Kurnool, AP-INDIA.

2 Asst Prof, ECE Dept, Kottam College of Engineering, Chinnatekur, Kurnool, AP-INDIA. www.semargroups.org ISSN 2319-8885 Vol.02,Issue.06, July-2013, Pages:480-486 Error Correction in MLC NAND Flash Memories Based on Product Code ECC Schemes B.RAJAGOPAL REDDY 1, K.PARAMESH 2 1 Research Scholar,

More information

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes 1 U.Rahila Begum, 2 V. Padmajothi 1 PG Student, 2 Assistant Professor 1 Department Of

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

A Low-Cost SEE Mitigation Solution for Soft-Processors Embedded in Systems On Programmable Chips

A Low-Cost SEE Mitigation Solution for Soft-Processors Embedded in Systems On Programmable Chips A Low-Cost SEE Mitigation Solution for Soft-Processors Embedded in Systems On Programmable Chips M. Sonza Reorda, M. Violante Politecnico di Torino Torino, Italy Abstract The availability of multimillion

More information

FPGA Implementation of a High Speed Multiplier Employing Carry Lookahead Adders in Reduction Phase

FPGA Implementation of a High Speed Multiplier Employing Carry Lookahead Adders in Reduction Phase FPGA Implementation of a High Speed Multiplier Employing Carry Lookahead Adders in Reduction Phase Abhay Sharma M.Tech Student Department of ECE MNNIT Allahabad, India ABSTRACT Tree Multipliers are frequently

More information

DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY

DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY K.Maheshwari M.Tech VLSI, Aurora scientific technological and research academy, Bandlaguda, Hyderabad. k.sandeep kumar Asst.prof,

More information

An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs

An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs Lee W. Lerner and Charles E. Stroud Dept. of Electrical and Computer Engineering Auburn University Auburn, AL, USA Abstract We present

More information

Single Event Latchup Power Switch Cell Characterisation

Single Event Latchup Power Switch Cell Characterisation Single Event Latchup Power Switch Cell Characterisation Vladimir Petrovic, Marko Ilic, Gunter Schoof Abstract - In this paper are described simulation and measurement processes of a power switch cell used

More information

ARCHITECTURE DESIGN FOR SOFT ERRORS

ARCHITECTURE DESIGN FOR SOFT ERRORS ARCHITECTURE DESIGN FOR SOFT ERRORS Shubu Mukherjee ^ШВпШшр"* AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO T^"ТГПШГ SAN FRANCISCO SINGAPORE SYDNEY TOKYO ^ P f ^ ^ ELSEVIER Morgan

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

Effective Implementation of LDPC for Memory Applications

Effective Implementation of LDPC for Memory Applications Effective Implementation of LDPC for Memory Applications Y.Sreeja PG Scholar, VLSI & ES, Dept of ECE, Vidya Bharathi Institute of Technology, Janagaon, Warangal, Telangana. Dharavath Jagan Associate Professor,

More information

Design of Majority Logic Decoder for Error Detection and Correction in Memories

Design of Majority Logic Decoder for Error Detection and Correction in Memories IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 7, Issue 3, Ver. I (May. - Jun. 2017), PP 19-26 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design of Majority Logic Decoder

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 18 Chapter 7 Case Studies Part.18.1 Introduction Illustrate practical use of methods described previously Highlight fault-tolerance

More information

New Reliable Reconfigurable FPGA Architecture for Safety and Mission Critical Applications LOGO

New Reliable Reconfigurable FPGA Architecture for Safety and Mission Critical Applications LOGO New Reliable Reconfigurable FPGA Architecture for Safety and Mission Critical Applications B. Chagun Basha, IETR Sébastien Pillement, IETR Loïc Lagadec, Lab-STICC LOGO Contents Introduction Making FPGA

More information

Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel

Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel Abstract This paper presents a method of hardening the 8051 micro-controller, able

More information

An Efficient Error Detection Technique for 3D Bit-Partitioned SRAM Devices

An Efficient Error Detection Technique for 3D Bit-Partitioned SRAM Devices JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.5, OCTOBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.5.445 ISSN(Online) 2233-4866 An Efficient Error Detection Technique

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication Khanh N. Dang and Xuan-Tu Tran Email: khanh.n.dang@vnu.edu.vn VNU Key Laboratory for Smart Integrated Systems

More information

11. SEU Mitigation in Stratix IV Devices

11. SEU Mitigation in Stratix IV Devices 11. SEU Mitigation in Stratix IV Devices February 2011 SIV51011-3.2 SIV51011-3.2 This chapter describes how to use the error detection cyclical redundancy check (CRC) feature when a Stratix IV device is

More information

Comparative Analysis of DMC and PMC on FPGA

Comparative Analysis of DMC and PMC on FPGA Comparative Analysis of DMC and PMC on FPGA Gnanajyothi R 1, Mr Ramana Reddy K V 2, Dr. Siva Yellampalli 3 1 Student, Mtech13, UTL VTU regional center, Bengaluru. 2 Assistant professor, UTL VTU regional

More information

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Niccolò Battezzati Filomena Decuzzi Luca Sterpone Massimo Violante 1 Goal To provide solutions for increasing the

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

Self-checking combination and sequential networks design

Self-checking combination and sequential networks design Self-checking combination and sequential networks design Tatjana Nikolić Faculty of Electronic Engineering Nis, Serbia Outline Introduction Reliable systems Concurrent error detection Self-checking logic

More information

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM B.HARIKRISHNA 1, DR.S.RAVI 2 1 Sathyabama Univeristy, Chennai, India 2 Department of Electronics Engineering, Dr. M. G. R. Univeristy, Chennai,

More information

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 Redundancy in fault tolerant computing D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 1 Redundancy Fault tolerance computing is based on redundancy HARDWARE REDUNDANCY Physical

More information

An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication

An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication Shubham Fadnavis, M. Tech. (Final Year) Department of Electronics & Communication, Acropolis Institute of Technology

More information

Reliability Improvement in Reconfigurable FPGAs

Reliability Improvement in Reconfigurable FPGAs Reliability Improvement in Reconfigurable FPGAs B. Chagun Basha Jeudis de la Comm 22 May 2014 1 Overview # 2 FPGA Fabrics BlockRAM resource Dedicated multipliers I/O Blocks Programmable interconnect Configurable

More information

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2894-2900 ISSN: 2249-6645 High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs M. Reddy Sekhar Reddy, R.Sudheer Babu

More information

Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P.

Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. Chapter 8 Coping with Physical Failures, Soft Errors, and Reliability Issues System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 1 1 What is this chapter about? Gives an Overview of and

More information

Implementation of Fault Tolerant Parallel Filters Using ECC Technique

Implementation of Fault Tolerant Parallel Filters Using ECC Technique IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 4, Ver. II (Jul. - Aug. 2016), PP 19-25 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Implementation of Fault Tolerant

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA 1 Vatsya Tiwari M.Tech Student Department of computer science & engineering Abstract. This paper

More information

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP 1 M.DEIVAKANI, 2 D.SHANTHI 1 Associate Professor, Department of Electronics and Communication Engineering PSNA College

More information

Single error correction, double error detection and double adjacent error correction with no mis-correction code

Single error correction, double error detection and double adjacent error correction with no mis-correction code This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Single error correction, double error detection

More information

Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication

Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication Abstract: Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication The presence of different noise sources and continuous increase in crosstalk in the deep sub micrometer

More information

LogiCORE IP Soft Error Mitigation Controller v3.2

LogiCORE IP Soft Error Mitigation Controller v3.2 LogiCORE IP Soft Error Mitigation Controller v. Product Guide PG06 April 4, 0 Table of Contents Chapter : Overview Memory Types.................................................................... 6 Mitigation

More information

Implementation of Decimal Matrix Code For Multiple Cell Upsets in Memory

Implementation of Decimal Matrix Code For Multiple Cell Upsets in Memory Implementation of Decimal Matrix Code For Multiple Cell Upsets in Memory Shwetha N 1, Shambhavi S 2 1, 2 Department of E&C, Kalpataru Institute of Technology, Tiptur, Karnataka, India Abstract: Transient

More information

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation http://dx.doi.org/10.5573/jsts.2012.12.4.418 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 Efficient Implementation of Single Error Correction and Double Error Detection

More information

MicroCore Labs. MCL51 Application Note. Lockstep. Quad Modular Redundant System

MicroCore Labs. MCL51 Application Note. Lockstep. Quad Modular Redundant System MicroCore Labs MCL51 Application Note Lockstep Quad Modular Redundant System Introduction: This application note describes a Lockstep Quad Modular Redundant (QMR) system that employs the microsequencer-based

More information

Improving FPGA Design Robustness with Partial TMR

Improving FPGA Design Robustness with Partial TMR Improving FPGA Design Robustness with Partial TMR Brian Pratt, Michael Caffrey, Paul Graham, Keith Morgan, Michael Wirthlin Abstract This paper describes an efficient approach of applying mitigation to

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

A Cache-Assisted ScratchPad Memory for Multiple Bit Error Correction

A Cache-Assisted ScratchPad Memory for Multiple Bit Error Correction A Cache-Assisted ScratchPad Memory for Multiple Bit Error Correction Hamed Farbeh, Student Member, IEEE, Nooshin Sadat Mirzadeh, Nahid Farhady Ghalaty, Seyed-Ghassem Miremadi, Senior Member, IEEE, Mahdi

More information

Fault Tolerant Parallel Filters Based On Bch Codes

Fault Tolerant Parallel Filters Based On Bch Codes RESEARCH ARTICLE OPEN ACCESS Fault Tolerant Parallel Filters Based On Bch Codes K.Mohana Krishna 1, Mrs.A.Maria Jossy 2 1 Student, M-TECH(VLSI Design) SRM UniversityChennai, India 2 Assistant Professor

More information

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup Yan Sun and Min Sik Kim School of Electrical Engineering and Computer Science Washington State University Pullman, Washington

More information

Enhanced Detection of Double Adjacent Errors in Hamming Codes through Selective Bit Placement

Enhanced Detection of Double Adjacent Errors in Hamming Codes through Selective Bit Placement Enhanced Detection of Double Adjacent Errors in Hamming Codes through Selective Bit Placement 1 Lintu K Babu, 2 Hima Sara Jacob 1 M Tech Student, 2 Assistant Professor 1 Department of Electronics And Communication

More information

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 6 Coding I Chapter 3 Information Redundancy Part.6.1 Information Redundancy - Coding A data word with d bits is encoded

More information

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA J. George 1, S. Rezgui 2, G. Swift 3, C. Carmichael 2 For the North American Xilinx Test Consortium 1 The Aerospace

More information

Fault Diagnosis Schemes for Low-Energy BlockCipher Midori Benchmarked on FPGA

Fault Diagnosis Schemes for Low-Energy BlockCipher Midori Benchmarked on FPGA Fault Diagnosis Schemes for Low-Energy BlockCipher Midori Benchmarked on FPGA Abstract: Achieving secure high-performance implementations for constrained applications such as implantable and wearable medical

More information

ALMA Memo No Effects of Radiation on the ALMA Correlator

ALMA Memo No Effects of Radiation on the ALMA Correlator ALMA Memo No. 462 Effects of Radiation on the ALMA Correlator Joseph Greenberg National Radio Astronomy Observatory Charlottesville, VA July 8, 2003 Abstract This memo looks specifically at the effects

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Available online at ScienceDirect. Procedia Technology 25 (2016 )

Available online at  ScienceDirect. Procedia Technology 25 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Technology 25 (2016 ) 544 551 Global Colloquium in Recent Advancement and Effectual Researches in Engineering, Science and Technology (RAEREST

More information

EECS150 - Digital Design Lecture 24 - High-Level Design (Part 3) + ECC

EECS150 - Digital Design Lecture 24 - High-Level Design (Part 3) + ECC EECS150 - Digital Design Lecture 24 - High-Level Design (Part 3) + ECC April 12, 2012 John Wawrzynek Spring 2012 EECS150 - Lec24-hdl3 Page 1 Parallelism Parallelism is the act of doing more than one thing

More information

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES (1) Nallaparaju Sneha, PG Scholar in VLSI Design, (2) Dr. K. Babulu, Professor, ECE Department, (1)(2)

More information

Power Consumption in 65 nm FPGAs

Power Consumption in 65 nm FPGAs White Paper: Virtex-5 FPGAs R WP246 (v1.2) February 1, 2007 Power Consumption in 65 nm FPGAs By: Derek Curd With the introduction of the Virtex -5 family, Xilinx is once again leading the charge to deliver

More information

A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies

A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies Abstract: Performance degradation tolerance (PDT) has been shown to be able to effectively improve the yield, reliability,

More information

Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes P. Kalai Mani, V. Vishnu Prasath PG Student, Department of Applied Electronics, Sri Subramanya College of Engineering

More information

RELIABILITY and RELIABLE DESIGN. Giovanni De Micheli Centre Systèmes Intégrés

RELIABILITY and RELIABLE DESIGN. Giovanni De Micheli Centre Systèmes Intégrés RELIABILITY and RELIABLE DESIGN Giovanni Centre Systèmes Intégrés Outline Introduction to reliable design Design for reliability Component redundancy Communication redundancy Data encoding and error correction

More information

VHDL CODE FOR SINGLE BIT ERROR DETECTION AND CORRECTION WITH EVEN PARITY CHECK METHOD USING XILINX 9.2i

VHDL CODE FOR SINGLE BIT ERROR DETECTION AND CORRECTION WITH EVEN PARITY CHECK METHOD USING XILINX 9.2i VHDL CODE FOR SINGLE BIT ERROR DETECTION AND CORRECTION WITH EVEN PARITY CHECK METHOD USING XILINX 9.2i Vivek Singh, Rahul Kumar, Manish Kumar Upadhyay Dept. of Electronics & Communication Engineering..

More information

Hardware Implementation of Single Bit Error Correction and Double Bit Error Detection through Selective Bit Placement for Memory

Hardware Implementation of Single Bit Error Correction and Double Bit Error Detection through Selective Bit Placement for Memory Hardware Implementation of Single Bit Error Correction and Double Bit Error Detection through Selective Bit Placement for Memory Lankesh M. Tech student, Dept. of Telecommunication Engineering, Siddaganga

More information

Error Detection And Correction

Error Detection And Correction Announcements Please read Error Detection and Correction sent to you by your grader. Lab Assignment #2 deals with Hamming Code. Lab Assignment #2 is available now and will be due by 11:59 PM on March 22.

More information

RAID SEMINAR REPORT /09/2004 Asha.P.M NO: 612 S7 ECE

RAID SEMINAR REPORT /09/2004 Asha.P.M NO: 612 S7 ECE RAID SEMINAR REPORT 2004 Submitted on: Submitted by: 24/09/2004 Asha.P.M NO: 612 S7 ECE CONTENTS 1. Introduction 1 2. The array and RAID controller concept 2 2.1. Mirroring 3 2.2. Parity 5 2.3. Error correcting

More information

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration Don t Forget the : Automatic Block RAM Modelling, Optimization, and Architecture Exploration S. Yazdanshenas, K. Tatsumura *, and V. Betz University of Toronto, Canada * Toshiba Corporation, Japan : An

More information

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT K.Sandyarani 1 and P. Nirmal Kumar 2 1 Research Scholar, Department of ECE, Sathyabama

More information

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI CHAPTER 2 ARRAY SUBSYSTEMS [2.4-2.9] MANJARI S. KULKARNI OVERVIEW Array classification Non volatile memory Design and Layout Read-Only Memory (ROM) Pseudo nmos and NAND ROMs Programmable ROMS PROMS, EPROMs,

More information

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007 Minimizing Power Dissipation during Write Operation to Register Files Kimish Patel, Wonbok Lee, Massoud Pedram University of Southern California Los Angeles CA August 28 th, 2007 Introduction Outline Conditional

More information

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS A PROPOSAL FOR A SPACE FLIGHT DEMONSTRATION OF A DYNAMICALLY RECONFIGURABLE PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC

More information

ReSpace/MAPLD Conference Albuquerque, NM, August A Fault-Handling Methodology by Promoting Hardware Configurations via PageRank

ReSpace/MAPLD Conference Albuquerque, NM, August A Fault-Handling Methodology by Promoting Hardware Configurations via PageRank ReSpace/MAPLD Conference Albuquerque, NM, August 2011. A Fault-Handling Methodology by Promoting Hardware Configurations via PageRank Naveed Imran and Ronald F. DeMara Department of Electrical Engineering

More information