altmult_accum Megafunction User Guide

Size: px
Start display at page:

Download "altmult_accum Megafunction User Guide"

Transcription

1 altmult_accum Megafunction User Guide 101 Innovation Drive San Jose, CA (408) Document Version: 3.2 Software Version: 7.0 Document Date: March 2007

2 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. UG-MF ii Altera Corporation altmult_accum Megafunction User Guide Preliminary March 2007

3 Contents About this User Guide... v Revision History... v How to Contact Altera... v Typographic Conventions... vi Chapter 1. About this Megafunction Device Family Support Introduction Features General Description Common Applications Resource Utilization and Performance Chapter 2. Getting Started Software and System Requirements MegaWizard Plug-In Manager Customization MegaWizard Page Descriptions Inferring Megafunctions from HDL Code Instantiating Megafunctions in HDL Code Identifying a Megafunction after Compilation Simulation Quartus II Simulation EDA Simulation SignalTap II Embedded Logic Analyzer Design Example: Basic Serial FIR Filter Design Files Example Generate a Multiplier-Accumulator Implement the Multiplier-Accumulator Functional Results Simulate the Multiplier-Accumulator in Quartus Functional Results Simulate the 8-bit Multiplier Design in ModelSim-Altera Conclusion Chapter 3. Specifications Ports and Parameters Ports and Parameters for the altmult_accum Megafunction Altera Corporation iii

4 Contents iv altmult_accum Megafunction User Guide Altera Corporation

5 About this User Guide Revision History The table below shows the revision history for this User Guide. Date/Version Changes Made Summary of Changes March 2007 v3.2 December 2006 v3.1 December 2006 v3.0 May 2006 v2.0 January 2006 v1.1 September 2004 v1.0 Added Cyclone III to list of supported devices. Added Stratix III device information. Updated for Quartus II 6.1 version software. Updated for Quartus II 6.0 version software, including updated GUI and project examples, and new ModelSim simulation section Ports and Parameters updated for Quartus II 5.1 version software. Initial release. Updated for Quartus II version 7.0 by adding support for Cyclone III device. How to Contact Altera For the most up-to-date information about Altera products, go to the Altera world-wide web site at For technical support on this product, go to For additional information about Altera products, consult the sources shown below. Information Type USA and Canada All Other Locations Technical support altera.com/mysupport/ (800) 800-EPLD (3753) (7:00 a.m. to 5:00 p.m. Pacific Time) (408) (1) (7:00 a.m. to 5:00 p.m. Pacific Time) Product literature Altera literature services (1) (1) Non-technical customer service (800) (7:00 a.m. to 5:00 p.m. Pacific Time) FTP site ftp.altera.com ftp.altera.com Note to table: (1) You can also contact your local Altera sales office or sales representative. (408) (7:30 a.m. to 5:30 p.m. Pacific Time) Altera Corporation March 2007 v altmult_accum Megafunction User Guide

6 Typographic Conventions Typographic Conventions This document uses the typographic conventions shown below. Visual Cue Bold Type with Initial Capital Letters bold type Italic Type with Initial Capital Letters Italic type Initial Capital Letters Subheading Title Courier type Meaning Command names, dialog box titles, checkbox options, and dialog box options are shown in bold, initial capital letters. Example: Save As dialog box. External timing parameters, directory names, project names, disk drive names, filenames, filename extensions, and software utility names are shown in bold type. Examples: f MAX, \qdesigns directory, d: drive, chiptrip.gdf file. Document titles are shown in italic type with initial capital letters. Example: AN 75: High-Speed Board Design. Internal timing parameters and variables are shown in italic type. Examples: t PIA, n + 1. Variable names are enclosed in angle brackets (< >) and shown in italic type. Example: <file name>, <project name>.pof file. Keyboard keys and menu names are shown with initial capital letters. Examples: Delete key, the Options menu. References to sections within a document and titles of on-line help topics are shown in quotation marks. Example: Typographic Conventions. Signal and port names are shown in lowercase Courier type. Examples: data1, tdi, input. Active-low signals are denoted by suffix n, e.g., resetn. 1., 2., 3., and a., b., c., etc. Anything that must be typed exactly as it appears is shown in Courier type. For example: c:\qdesigns\tutorial\chiptrip.gdf. Also, sections of an actual file, such as a Report File, references to parts of files (e.g., the AHDL keyword SUBDESIGN), as well as logic function names (e.g., TRI) are shown in Courier. Numbered steps are used in a list of items when the sequence of the items is important, such as the steps listed in a procedure. Bullets are used in a list of items when the sequence of the items is not important. v The checkmark indicates a procedure that consists of one step only. 1 The hand points to information that requires special attention. c w r f A caution calls attention to a condition or possible situation that can damage or destroy the product or the user s work. A warning calls attention to a condition or possible situation that can cause injury to the user. The angled arrow indicates you should press the Enter key. The feet direct you to more information on a particular topic. vi Altera Corporation altmult_accum Megafunction User Guide March 2007

7 Chapter 1. About this Megafunction Device Family Support Introduction The altmult_accum megafunction supports the following target Altera device families: Stratix III Stratix II Stratix II GX Stratix Stratix GX Cyclone III Cyclone II Cyclone HardCopy II HardCopy Stratix MAX II MAX 7000AE MAX 7000B MAX 7000S MAX 3000A ACEX 1K APEX II APEX 20KC APEX 20KE FLEX 10K FLEX 10KA FLEX 10KE FLEX 6000 As design complexities increase, use of vendor-specific intellectual property (IP) blocks has become a common design methodology. Altera provides parameterizable megafunctions that are optimized for Altera device architectures. Using megafunctions instead of coding your own logic saves valuable design time. Additionally, the Altera provided functions may offer more efficient logic synthesis and device implementation. The megafunction s size is scaled by setting parameters. Altera Corporation 1 1 March 2007 altmult_accum Megafunction User Guide

8 Features Features The altmult_accum megafunction implements a multiplier-accumulator and offers three additional features, which include: Performing either signed or unsigned operations Support for pipelining with parameterized output latency Active high asynchronous clear and clock enable control inputs General Description The altmult_accum megafunction is one of the arithmetic megafunctions supported in the Quartus II software MegaWizard Plug-In Manager. The altmult_accum megafunction allows you to implement a multiplieraccumulator. A multiplier-accumulator accepts a pair of inputs, multiplies the two inputs together, and feeds their result into an accumulator to be added to or subtracted from its previous registered result. This function is expressed as: N 1 y = ( ± 1 ) A i B i i 0 In this equation, N is the number of cycles of data that has entered into the accumulator. Figure 1 1 illustrates a basic multiplier-accumulator with 2-bit inputs. Figure 1 1. Basic 2-Bit Multiplier-Accumulator A0[1..0] p[1..0] DFF D Q Y[4..0] B0[1..0] CLRN 1 2 Altera Corporation altmult_accum Megafunction User Guide March 2007

9 About this Megafunction Variations of the multiplier-accumulator include: Switching between addition and subtraction operations Using signed or unsigned data representation Implementing input shift register chains Including additional input Implementing output and pipelined registers, controlling asynchronous clear Implementing synchronous load of the accumulator Sizing the data width of the inputs and output Figure 1 2 shows a multiplier-accumulator with these variations. Figure 1 2. Multiplier-Accumulator with Optional Features Synchronous Load Sign A SET D Q SET D Q A DFF PRN D Q SET D Q Q CLR Q CLR Q CLR DFF CLRN PRN D Q DFF PRN D Q P Accumuator CLRN DFF B DFF PRN D Q CLRN PRN D Q CLRN SET D Q Q CLR SET D Q Q CLR SET D Q Q CLR CLRN Sign B Shift Register B SET D Q Q CLR SET D Q SET D Q Q CLR SET D Q Q CLR Q CLR Shift Register A Add/Sub 0 Sign B Sign A The altmult_accum megafunction implements the multiplier-accumulator in dedicated digital signal processing (DSP) block circuitry, logic elements (LEs), or adaptive logic modules (ALMs). The altmult_accum megafunction accepts data input widths of up to 18 bits. Additional features include dynamically changing add and subtract operations in the accumulator, dynamically changing signed or unsigned data support, and setting up data shift register chains. Altera Corporation 1 3 March 2007 altmult_accum Megafunction User Guide

10 Resource Utilization and Performance Common Applications Multiplier-accumulator applications include serial finite impulse response (FIR) filters, fast Fourier transforms (FFTs), and other designs that require a serial summation of products. Altera recommends that you use the altmult_accum megafunction when implementing a multiplier accumulator in Altera devices because the megafunction takes advantage of the performance that the dedicated multiplier circuitry offers. The altmult_accum megafunction is implemented in the regular logic resources or with DSP blocks, which are available in Stratix III, Stratix II, Stratix, and Stratix GX devices. In Cyclone II devices, the multiplier is implemented in the embedded multiplier and the accumulator is implemented in LEs. If you are using Cyclone devices, the entire multiplier-accumulator is implemented in LEs. f For more information about the multiply-accumulate mode of Stratix DSP blocks, refer to the Using the DSP Block in Stratix & Stratix GX Devices chapter in volume 2 of the Stratix Handbook. For more information about using the multiply-accumulate mode of Stratix DSP blocks in DSP applications, refer to the Implementing High-Performance DSP Functions in Stratix & Stratix GX Devices chapter in volume 2 of the Stratix Handbook. For more information about the multiply-accumulate mode of the Stratix II DSP blocks, refer to the DSP Blocks in Stratix II Devices chapter of the Stratix II Handbook. For more information about the multiply-accumulate mode of the Stratix III DSP blocks, refer to the DSP Blocks in Stratix III Devices chapter of the Stratix III Handbook. For more information on using embedded multipliers in Cyclone II devices, refer to the Embedded Multipliers in Cyclone II Devices chapter of the Cyclone II Handbook. Resource Utilization and Performance In Stratix III, Stratix II, Stratix, and Stratix GX devices the multiplier and the accumulator of the altmult_accum megafunction are placed in the DSP block circuitry. The DSP blocks use the 18-bit 18-bit input multiplier to process data with widths of up to 18 bits. The registers and extra pipeline registers for the following signals are also placed inside the DSP block: data input, signed/unsigned select, add/subtract select, synchronous load, and products of multipliers. In the case of the output result, the first register is placed in the DSP block. The extra latency registers are placed in logic elements outside the block. 1 4 Altera Corporation altmult_accum Megafunction User Guide March 2007

11 About this Megafunction f For more information on DSP blocks in Stratix and Stratix GX devices, refer to the Using the DSP Blocks in Stratix & Stratix GX Devices chapter in volume 2 of the Stratix Handbook. For more information, refer to the DSP Blocks in Stratix II Devices chapter in volume 2 of the Stratix II Handbook. Cyclone II devices have 18-bit 18-bit embedded multiplier blocks. When the altmult_accum megafunction is implemented in a Cyclone II device, the multiplier is implemented in the embedded multiplier blocks, while the accumulator is put in logic elements LEs. In Cyclone devices, both the multiplier and accumulator are placed in LEs. f For more information on implementing multipliers using memory blocks in Altera FPGAs, refer to AN 306: Implementing Multipliers in Altera FPGAs. For more information on implementing multipliers in FPGAs and memory-based multipliers, refer to the altmemmult Megafunction User Guide. Table 1 1 summarizes the resource usage for an altmult_accum megafunction used to implement a multiplier-accumulator with its default settings. Table 1 1. Resource Usage for an altmult_accum Megafunction (1) Device Optimization Width Logic Usage Stratix II Area 18 4 DSP blocks Cyclone II Balanced LEs; 2 DSP blocks Stratix, Stratix GX Speed 18 4 DSP blocks Cyclone Balanced LEs Note to Table 1 1: (1) You can get the performance information from the MegaWizard Plug-In Manager. The information in this table is valid and accurate in Quartus II version 6.0 and later. Altera Corporation 1 5 March 2007 altmult_accum Megafunction User Guide

12 Resource Utilization and Performance 1 6 Altera Corporation altmult_accum Megafunction User Guide March 2007

13 Chapter 2. Getting Started Software and System Requirements The instructions in this section require the following software: For operating system support information, refer to: Quartus II software beginning with version 6.1 MegaWizard Plug-In Manager Customization The MegaWizard Plug-In Manager creates or modifies design files that contain custom megafunction variations which can then be instantiated in a design file. The MegaWizard Plug-In Manager provides a wizard that allows you to specify options for the altmult_accum megafunction. You can use the MegaWizard Plug-In Manager to set the altmult_accum megafunction features for each multiplier-accumulator in the design. Start the MegaWizard Plug-In Manager in one of the following ways: On the Tools menu, click MegaWizard Plug-In Manager. When working in the Block Editor, from the Edit menu, click Insert Symbol as Block, or right-click in the Block Editor, point to Insert, and click Symbol as Block. In the Symbol dialog box, click MegaWizard Plug-In Manager. Start the stand-alone version of the MegaWizard Plug-In Manager by typing the following command at the command prompt: qmegawizr Altera Corporation 2 1 March 2007 altmult_accum Megafunction User Guide

14 MegaWizard Page Descriptions MegaWizard Page Descriptions This section provides descriptions of the options available on the individual pages of the altmult_accum MegaWizard Plug-In Manager. Page 1 of the MegaWizard Plug-In Manager is shown in Figure 2 1. Figure 2 1. MegaWizard Plug-In Manager [page 1] You can choose to create, edit, or copy a custom megafunction variation. On page 2a of the altmult_accum wizard, specify the plug-in, family of device you want to use, type of output file to create, and the name of the output file (Figure 2 2). Choose AHDL (.tdf), VHDL (.vhd), or Verilog HDL (.v) as the output file type. You can also create a clear box instantiation for third-party EDA tools. 2 2 Altera Corporation altmult_accum Megafunction User Guide March 2007

15 Getting Started Figure 2 2. MegaWizard Plug-In Manager [page 2a] On page 3 of the altmult_accum wizard, specify the number of multipliers to be created, the width of the input bus, and whether the input bus is to be signed, unsigned, or variable. You can also set options to configure signa and signb inputs (Figure 2 3). Altera Corporation 2 3 March 2007 altmult_accum Megafunction User Guide

16 MegaWizard Page Descriptions Figure 2 3. MegaWizard Plug-In Manager ALTMULT_ACCUM [page 3 of 10] Table 1 shows the options available on page 3 of the altmult_accum MegaWizard Plug-In Manager. Table 2 1. altmult_accum Plug-In Manager (Page 3) Options (Part 1 of 2) Function Currently selected device family What is the number of multipliers? All multipliers have similar configurations Add support for hardware saturation and rounding. This will force all inputs to be in Q1.15 format How wide should the A input buses be? Description Specify the family device you want to use. Specify the number of multipliers. Specify if all the multipliers have the same configurations. This option is selected by default. Available when device is Cyclone II, HardCopy II, Stratix II or Stratix II GX. Select hardware saturation and rounding support. Default rounding for all input is set to Q1.15 format (fixed point arithmetic notation with 15-bits of precision). Specify the width of A input buses. If device is Cyclone II, HardCopy II, Stratix II, Stratix II GX or Stratix III, the width of A is fixed at 16 bits when hardware saturation and rounding is selected. 2 4 Altera Corporation altmult_accum Megafunction User Guide March 2007

17 Getting Started Table 2 1. altmult_accum Plug-In Manager (Page 3) Options (Part 2 of 2) Function How wide should the B input buses be? How wide should the 'result' output bus be? In what format should the 'result' output bus be? Create a 4th asynchronous clear input option. This forces all registers to have an associated asynchronous clear input. Create an associated clock enable for each clock Input Representation What is the representation format for A inputs? What is the representation format for B inputs? 'signa' input controls the sign (1 signed/0 unsigned) 'signb' input controls the sign (1 signed/0 unsigned) Description Specify the width of B input buses. If device is Cyclone II, HardCopy II, Stratix II, Stratix II GX, or Stratix III, the width of A is fixed at 16 bits when hardware saturation and rounding is selected. Specify the width of 'result' output buses. Available when device is Cyclone II, HardCopy II, Stratix II, Stratix II GX, or Stratix III and if the hardware saturation and rounding option is selected. Specify the rounding format of 'result' output bus Select to create an asynchronous clear input. Select to create an associated clock enable for each clock. Specify the input representation format. Specify the representation format for A inputs. When device is Cyclone II, HardCopy II, Stratix II, Stratix II GX, or Stratix III and if the hardware saturation and rounding option is selected, representation format for A inputs is default to 'signed'. Specify the representation format for B inputs. When device is Cyclone II, HardCopy II, Stratix II, Stratix II GX, or Stratix III and if the hardware saturation and rounding option is selected, representation format for B inputs is default to 'signed'. Available when representation format for A inputs is 'variable'. Specify the input register and/or pipeline register. Available when representation format for B inputs is 'variable'. Specify the input register and/or pipeline register. On page 4 of the altmult_accum wizard, specify which operation is performed on the first pair of multipliers Add/Sub and select the implementation type. Specify whether to use default or dedicated multiplier circuitry, or logic elements (Figure 2 4). Altera Corporation 2 5 March 2007 altmult_accum Megafunction User Guide

18 MegaWizard Page Descriptions Figure 2 4. MegaWizard Plug-In Manager ALTMULT_ACCUM [page 4 of 10] Table 2 2 shows the options available on page 4 of the altmult_accum MegaWizard Plug-In Manager. Table 2 2. altmult_accum Plug-In Manager (Page 4) Options (Part 1 of 2) Function Outputs Configuration Create a shiftout output from A input of the last multiplier Create a shiftout output from B input of the last multiplier Register output of the adder unit Adder operation What operation should be performed on outputs of the first pair of multiplier? What operation should be performed on outputs of the second pair of multipliers? Description Specify the output configurations. Select to create a shiftout output. When the device is Stratix III, 'More Options' is available for shiftout register configuration. Select to create a shiftout output. This option is not available when device is Stratix III. Select to create register to the output. Specify adder operation configurations. Specify the operation to be performed on the outputs of the first pair of multiplier. Specify the operation to be performed on the outputs of the second pair of multiplier. Only available when the number of multipliers is Altera Corporation altmult_accum Megafunction User Guide March 2007

19 Getting Started Table 2 2. altmult_accum Plug-In Manager (Page 4) Options (Part 2 of 2) Function Implementation Which multiplier-adder implementation should be used? Description Specify implementation configurations. Specify which implementation to use for the multiplieradder. 'Use dedicated multiplier circuitry' is only available on devices which have DSP block support. 'Use logic elements' is not available for the Stratix III device. Page 5 of the altmult_accum wizard (Figure 2 5) is applicable for Stratix II devices if you chose Add support for hardware saturation and rounding on page 3 of the altmult_accum wizard. If you chose Stratix III devices, go to Figure 2 7 on page Stratix II and Stratix III devices have built-in circuitry in the DSP blocks to support the Q1.3 input format rounding and saturation. On page 5 you can also customize the rounding options and enable saturation handling for the multiplier and accumulator outputs. They can be set to be always on, never on, or variable. Figure 2 5. Figure 5 MegaWizard Plug-In Manager ALTMULT_ACCUM [page 5 of 10] Altera Corporation 2 7 March 2007 altmult_accum Megafunction User Guide

20 MegaWizard Page Descriptions Table 2 3 shows the options available on page 5 of the altmult_accum MegaWizard Plug-In Manager for a Stratix II device with saturation and rounding support. Table 2 3. altmult_accum Plug-In Manager (Page 5) Options Saturation Function Saturation operations on outputs of the first pair of multipliers 'mult_saturation' input controls the operation (1 enable/0 disable) Create a saturation overflow output for the multiplier Saturation operations on output of the accumulator 'accum_saturation' input controls the operation (1 enable/0 disable) Create a saturation overflow output for the accumulator Description Specifies saturation configurations for the multiplier and accumulator. Specifies the saturation operations for the first pair of multipliers. Values are Enabled, Disabled, and Variable. When the saturation operation on outputs of the multiplier is set to Variable, the mult_saturation register can be configured. mult_is_saturated is created and PORT_MULT_IS_SATURATED is USED. This option is available for Stratix II devices. Specifies the saturation operations for the output of the accumulator. Values are Enabled, Disabled, and Variable. When the saturation operation on output of the accumulator is set to Variable, 'accum_saturation' register can be configured. accum_is_saturated is created and PORT_ACCUM_IS_SATURATED is 'USED'. This option is available for Stratix II devices. On page 6 of the altmult_accum wizard, specify the rounding configurations for the multiplier (Figure 2 6). 2 8 Altera Corporation altmult_accum Megafunction User Guide March 2007

21 Getting Started Figure 2 6. MegaWizard Plug-In Manager ALTMULT_ACCUM [page 6 of 10] Table 2 4 shows the options available on page 6 of the altmult_accum MegaWizard Plug-In Manager for Stratix II device with rounding configurations. Table 2 4. altmult_accum Plug-In Manager (Page 6) Options Function Rounding operations on output of each of the first pair of multipliers 'mult_rounding' input controls the operation (1 enable/0 disable) Rounding operation on the accumulator 'accum_rounding' input controls the operation (1 enable/0 disable) Description Specifies the rounding operations for the first pair of multipliers. Values are Enabled, Disabled, and Variable. When the rounding operations on outputs of the multiplier is set to variable, you can configure the mult_rounding register. Specifies the rounding operations for the accumulator. Values are Enabled, Disabled, and Variable. When the rounding operation on the accumulator is set to Variable, you can configure the accum_rounding register. Altera Corporation 2 9 March 2007 altmult_accum Megafunction User Guide

22 MegaWizard Page Descriptions On page 5 of the altmult_accum wizard, specify the chainout, shift, loopback, and accumulator configurations for all the multipliers. (Figure 2 7). This page and the following pages are available for Stratix III devices only. Figure 2 7. MegaWizard Plug-In Manager ALTMULT_ACCUM [page 5 of 11] for Stratix III Table 2 5 shows the options available on page 5 of the altmult_accum MegaWizard Plug-In Manager for Stratix II device with chainout, shift, loopback and accumulator configurations. Table 2 5. altmult_accum Plug-In Manager (Page 5 of 11) Options (Part 1 of 2) Function Enable the chainout adder Register output of the chainout adder unit Use the 'zero_chainout' input Description Select to enable chainout adder. This option is only available if there are more than 2 multipliers selected on page 3. Select to register output of the chainout adder unit. More options are available for the register configurations. Select to use the zero_chainout input port. More options are available for the port configurations Altera Corporation altmult_accum Megafunction User Guide March 2007

23 Getting Started Table 2 5. altmult_accum Plug-In Manager (Page 5 of 11) Options (Part 2 of 2) Function What is the type of the operation? shift_right' input controls the shift operation, when 'rotate' port is not asserted (1 shift right/0 shift left) 'rotate' input controls the rotate operation (1 rotate/0 no rotation) Enable the accumulator mode What is the accumulator operation type? Use the 'accum_sload' input Description Specifies shift or rotate operation. Values are None, Left, Right, Rotate, or Variable. Specifies the configurations for shift_right. Specifies the configurations for rotate. Select to enable the accumulator mode. Specifies the accumulator operation type. Values are Add or Subtract. Select to use the accum_sload input. More options are available for the port configurations. On page 6 of the altmult_accum wizard, specify the saturation configurations for all the multipliers (Figure 2 8). This page is available for Stratix III devices only. Figure 2 8. MegaWizard Plug-In Manager ALTMULT_ACCUM [page 6 of 11] for Stratix III Altera Corporation 2 11 March 2007 altmult_accum Megafunction User Guide

24 MegaWizard Page Descriptions Table 2 6 shows the options available on page 6 of the altmult_accum MegaWizard Plug-In Manager for the Stratix II device with saturation configurations. Table 2 6. altmult_accum Plug-In Manager (Page 6 of 11) Options Function What saturation operation type should be performed? What is the saturation fractional width? Should saturation operation be performed at the output adder(s)? Description Specifies which saturation operation to perform. Values are Symmetric and Asymmetric. The configuration applies to both output and chainout adders. Specifies the saturation fractional width. The configuration applies to both output and chainout adders. Specifies if saturation operation should be performed at the output adder(s). Values are Enabled, Disabled, and Variable. 'output_sat' input controls the saturation operation (1 Specifies the configurations for output_sat. saturation/0 no saturation) Create a saturation overflow output for the output adder Select to create a saturation overflow output for the output adder. Should saturation operation be performed at the chainout adder(s)? 'chainout_sat' input controls the saturation operation (1 saturation/0 no saturation) Create a saturation overflow output for the chainout adder Specifies if saturation operation should be performed at the chainout adder(s). Values are Enabled, Disabled, and Variable. Specifies the configurations for chainout_sat. Select to create a saturation overflow output for the chainout adder On page 7 of the altmult_accum wizard, specify the rounding configurations for all the multipliers (Figure 2 9). This page is available for Stratix III devices only Altera Corporation altmult_accum Megafunction User Guide March 2007

25 Getting Started Figure 2 9. MegaWizard Plug-In Manager ALTMULT_ACCUM [page 7 of 11] for Stratix III Table 2 7 shows the options available on page 7 of the altmult_accum MegaWizard Plug-In Manager for the Stratix II device with rounding configurations. Table 2 7. altmult_accum Plug-In Manager (Page 7 of 11) Options (Part 1 of 2) Function What rounding operation type should be performed? What is the rounding fractional width? Should rounding operation be performed at the output adder(s)? 'output_round' input controls the rounding operation (1 rounding/0 no rounding) Description Specifies which rounding operation to perform. Values are Nearest Even and Nearest Integer. The configuration applies to both output and chainout adders. Specifies the rounding fractional width. The configuration applies to both output and chainout adders. Specifies if the rounding operation should be performed at the chainout adder(s). Values are Enabled, Disabled, and Variable. Specifies the configurations for output_round. Altera Corporation 2 13 March 2007 altmult_accum Megafunction User Guide

26 MegaWizard Page Descriptions Table 2 7. altmult_accum Plug-In Manager (Page 7 of 11) Options (Part 2 of 2) Function Should rounding operation be performed at the chainout adder(s)? chainout_round' input controls the saturation operation (1 rounding/0 no rounding) Description Specifies if rounding operation should be performed at the chainout adder(s). Values are Enabled, Disabled, and Variable. Specifies the configurations for chainout_round. On page 7 of the altmult_accum wizard, specify the input and output for all the multipliers (Figure 2 10). From this page forward, it is applicable for all devices. The labeling of the page may differ. Figure MegaWizard Plug-In Manager ALTMULT_ACCUM [page 7 of 10] 2 14 Altera Corporation altmult_accum Megafunction User Guide March 2007

27 Getting Started Table 2 8 shows the options available on page 7 of the altmult_accum MegaWizard Plug-In Manager for the Stratix II device with input and output configurations. Table 2 8. altmult_accum Plug-In Manager (Page 7) Options Function Input configuration Register input A of the multiplier Register input B of the multiplier What is the input A of the multiplier connected to? Use the same signal to control the source for input A of all multipliers What is the input B of the multiplier connected to? Use the same signal to control the source for input B of all multipliers Output configuration Register output of the multiplier Description Specifies the input configuration for all the multipliers. Select to register input A of the multiplier. More options are available to specify the data A input configuration. Select to register input B of the multiplier. More options are available to specify data B input configuration. Specifies what the input A of the multiplier is connected to. Values are Multiplier Input, Shiftin Input, and Variable. Select to use the same signal to control the source for input A of all multipliers if there is more than one multiplier. Specifies what the input B of the multiplier is connected to. Values are Multiplier Input, Shiftin Input, and Variable. Select to use the same signal to control the source for input B of all multipliers if there is more than one multiplier. Specifies the output configuration for all the multipliers. Select to register output of the multiplier. More options are available to specify configuration of the output register. On page 8 of the altmult_accum wizard, specify configurations for the accumulator (Figure 2 11). Altera Corporation 2 15 March 2007 altmult_accum Megafunction User Guide

28 MegaWizard Page Descriptions Figure MegaWizard Plug-In Manager ALTMULT_ACCUM [page 8 of 10] Table 2 9 shows the options available on page 8 of the altmult_accum MegaWizard Plug-In Manager for the Stratix II device with accumulator support. Table 2 9. altmult_accum Plug-In Manager (Page 8) Options Function Create an 'accum_sload' input port Create an 'accum_sload_upper_data' input port Create an 'overflow' output port Add extra latency to the multiplier output Description Select to create an accum_sload input port. More options are available to specify the configuration of the accum_sload. Specify the number of bits for accum_sload_upper_data"input port. More options are available to specify the configuration of the port. Select to create an overflow output port. Specify the number of latency to be added to the multiplier output Altera Corporation altmult_accum Megafunction User Guide March 2007

29 Getting Started Page 9 of the altmult_accum wizard gives you information about the simulation model file(s) needed to properly simulate the generated design files (Figure 2 12). Figure MegaWizard Plug-In Manager ALTMULT_ACCUM [page 9 of 10] On page 10 of the altmult_accum wizard, you specify the type of files to generate with the MegaWizard Plug-in Manager (Figure 2 13). You can specify Verilog (.v), AHDL (.tdf), VHDL (.vhd), Quartus II Block Symbol Files (.bsf), Instantiation template file (<function name>_inst.v), or Verilog 'Black Box' declaration file (<function name>_bb.v). 1 The Verilog HDL, VHDL, or AHDL wrapper type files are selected automatically according to your settings on page 2a of the MegaWizard Plug-In Manager. Altera Corporation 2 17 March 2007 altmult_accum Megafunction User Guide

30 MegaWizard Page Descriptions Figure MegaWizard Plug-In Manager ALTMULT_ACCUM [page 10 of 10] Inferring Megafunctions from HDL Code Synthesis tools, including Quartus II integrated synthesis, recognize certain types of HDL code and automatically infer the appropriate megafunction when a megafunction will provide optimal results. That is, the Quartus II software uses the Altera megafunction code when compiling your design even though you did not specifically instantiate the megafunction. The Quartus II software infers megafunctions because they are optimized for Altera devices, so the area and/or performance may be better than generic HDL code. Additionally, you must use megafunctions to access certain Altera architecture-specific features such as memory, DSP blocks, and shift registers that generally provide improved performance compared with basic logic elements (LEs). f For more information on specific megafunction coding styles, refer to Recommended HDL Coding Styles chapter in volume 1 of the Quartus II Handbook. The Quartus II Handbook is located on the Altera website ( Altera Corporation altmult_accum Megafunction User Guide March 2007

31 Getting Started Instantiating Megafunctions in HDL Code Identifying a Megafunction after Compilation Simulation When you use the MegaWizard Plug-In Manager to set up and parameterize a megafunction, it creates either a VHDL or Verilog HDL wrapper file that instantiates the megafunction (a black-box methodology). For some megafunctions, you can generate a fully synthesizable netlist for improved results with EDA synthesis tools such as Synplify and Precision RTL Synthesis (a clear-box methodology). Both clear-box and black-box methodologies are described in the third party synthesis support chapters in the Synthesis section in volume 1 of the Quartus II Handbook. During a Quartus II compilation, analysis and elaboration is performed to build the structure of your design. You can locate your megafunction in the Project navigator window by expanding the compilation hierarchy and locating the megafunction by its name. Similarly, to search for node names within the megafunction (using the Node Finder), in the Look in box, click Browse and select the megafunction in the Hierarchy box. The Quartus II Simulation tool provides an easy-to-use, integrated solution for performing simulations. The following sections describe the simulation options. Quartus II Simulation With the Quartus II Simulator, you can perform two types of simulations: functional and timing. A functional simulation in the Quartus II program enables you to verify the logical operation of your design without taking into consideration the timing delays in the FPGA. This simulation is performed using only your RTL code. When performing a functional simulation, you add only signals that exist before synthesis. You can find these signals with Registers: pre-synthesis, Design Entry (all names), or the pin filters in the Node Finder. The top-level ports of megafunctions are found using these filters. In contrast, timing simulation in the Quartus II software verifies the operation of your design with annotated timing information. This simulation is performed using the post place-and-route netlist. When performing a timing simulation, you add only signals that exist after place and route. These signals are found with the Post-Compilation filter of the Node Finder. During synthesis and place and route, the names of your RTL signals change. Therefore, it might be difficult to find signals from your megafunction instantiation in the Post-Compilation filter. However, if you want to preserve the names of your signals during the synthesis and place and route stages, you must use the synthesis attributes keep or preserve. These are Verilog HDL and VHDL synthesis Altera Corporation 2 19 March 2007 altmult_accum Megafunction User Guide

32 SignalTap II Embedded Logic Analyzer attributes that direct analysis and synthesis to keep a particular wire, register, or node intact. You can use these synthesis attributes to keep a combinational logic node so you can observe the node during simulation. More information on these attributes is available in the Quartus II Integrated Synthesis chapter in volume 1 of the Quartus II Handbook. f For information on using synthesis attributes, refer to the appropriate chapter in the Synthesis section in volume 1 of the Quartus II Handbook. EDA Simulation Depending on the third-party simulation tool you are using, refer to the appropriate chapter in the Simulation section in volume 3 of the Quartus II Handbook. The Quartus II Handbook chapters show you how to perform functional and gate-level timing simulations that include the megafunctions, with details on the files that are needed and the directories where those files are located. SignalTap II Embedded Logic Analyzer The SignalTap II embedded logic analyzer provides you with a non intrusive method of debugging all of the Altera megafuntions instantiated within your design. With the SignalTap II embedded logic analyzer, you can capture and analyze data samples for the top-level ports of the megafunctions in your design while your system is running at full speed. To monitor signals from your Altera megafunctions, you must first custom-configure the SignalTap II embedded logic analyzer in the Quartus II design environment, and then include the analyzer as part of your Quartus II project. The Quartus II software will then seamlessly place and route the analyzer along with your design in the target architecture. f For more information on using the SignalTap II embedded logic analyzer, refer to the Design Debugging Using the SignalTap II Embedded Logic Analyzer chapter in volume 3 of the Quartus II Handbook Altera Corporation altmult_accum Megafunction User Guide March 2007

33 Getting Started Design Example: Basic Serial FIR Filter A multiplier-accumulator is typically used to implement functions such as a FIR filter. This design example implements a serial FIR filter. To implement this filter with the multiplier in the accumulator, both the data and coefficient are shifted serially into the multiplier and then summed in the accumulator. Design Files The design files are available in the Quartus II Projects section on the Design Examples page at: Select the links below the altmult_accum Megafunction User Guide to download the design files. Example This design example uses the altmult_accum megafunction to create a multiplier-accumulator for devices with dedicated DSP circuitry. A multiplier-accumulator consists of a single multiplier feeding an accumulator. In this example, you perform the following activities: Create a serial FIR filter using the altmult_accum megafunction and the Megawizard Plug-in Manager Implement and compile the design in the Quartus II software Simulate the design in the Quartus II software Generate a Multiplier-Accumulator 1. Open the altmult_accum_designexample.zip file and extract serial_fir.qar. In the Quartus II software, open the serial_fir.qar project and restore the archive file into your working directory. 2. Open the top-level file serial_fir.bdf. This is an incomplete file that you will complete in the course of this example. 3. Double-click on a blank area in the Block Design File (.bdf). 4. In the Symbol window, click MegaWizard Plug-In Manager. 5. On page 1 of the MegaWizard Plug-In Manager, select Create a new custom megafunction variation. 6. Click Next. Page 2a appears. Altera Corporation 2 21 March 2007 altmult_accum Megafunction User Guide

34 Design Example: Basic Serial FIR Filter 7. On page 2a, in the Installed Plug-Ins list, expand the Arithmetic folder and select ALTMULT_ACCUM (MAC) (Figure 2 14). Figure MegaWizard Plug-In Manager [page 2a] 8. In the Which device family will you be using? list, select Stratix II. 9. Under Which type of output file do you want to create?, select Verilog HDL. 10. Specify the output file name as <project directory>\my_mac. 11. Click Next. Page 3 appears (Figure 2 15) Altera Corporation altmult_accum Megafunction User Guide March 2007

35 Getting Started Figure MegaWizard Plug-In Manager ALTMULT_ACCUM (MAC) [page 3 of 10] 12. Turn off Add support for hardware saturation and rounding. This will force all inputs to be in Q1.5 format 13. In the How wide should the A input buses be? list, select 16 bits. 14. In the How wide should the B input buses be? list, select 16 bits. 15. In the How wide should the B input buses be? list, select 33 bits. 16. Turn on Create a 4th asynchronous clear input option. This forces all registers to have an associated asynchronous clear input 17. Turn off Create an associated clock enable for each clock. 18. In the What is the representation format for A inputs? list, select Signed. 19. In the What is the representation format for B inputs? list, select Signed. 20. Click Next. Page 4 appears (Figure 2 16). Altera Corporation 2 23 March 2007 altmult_accum Megafunction User Guide

36 Design Example: Basic Serial FIR Filter Figure MegaWizard Plug-In Manager ALTMULT_ACCUM (MAC) [page 4 of 10] 21. Turn off Create a shiftout output from A input of the last multiplier. 22. Turn off Create a shiftout output from B input of the last multiplier. 23. Under Outputs Configuration, click More Options. The Ouput Register Configuration dialog box appears. 24. In the What is the source for clock input? list, select Clock0. In the What is the source for asynchronous clear input? list, select Aclr3. In the Add extra latency to the output list, select 0 (Figure 2 17) Altera Corporation altmult_accum Megafunction User Guide March 2007

37 Getting Started Figure Output Register Configuration Dialog Box 25. Click Done. 26. Under Adder Operation, in the What operation should be performed on outputs of the first pair of multipliers? list, select Add. 27. Under Which multiplier-adder implementation should be used?, select Use the default implementation. 28. Click Next. Page 5 appears (Figure 2 18). Figure MegaWizard Plug-In Manager ALTMULT_ACCUM (MAC) [page 5 of 10] Altera Corporation 2 25 March 2007 altmult_accum Megafunction User Guide

38 Design Example: Basic Serial FIR Filter 29. Click Next. Page 6 appears (Figure 2 19). Figure MegaWizard Plug-In Manager ALTMULT_ACCUM (MAC) [page 6 of 10] 30. Click Next. Page 7 appears (Figure 2 20) Altera Corporation altmult_accum Megafunction User Guide March 2007

39 Getting Started Figure MegaWizard Plug-In Manager ALTMULT_ACCUM (MAC) [page 7 of 10] 31. Turn on Register input A of the multiplier. Click More Options. The Data A Input Register Configuration Multiplier dialog box appears. 32. In the What is the source for clock input? list, select Clock0 and in the What is the source for asynchronous clear input? list, select Aclr3 (Figure 2 21). Figure Data A Input Register Configuration Dialog Box 33. Click Done. Altera Corporation 2 27 March 2007 altmult_accum Megafunction User Guide

40 Design Example: Basic Serial FIR Filter 34. Turn on Register input B of the multiplier. Click More Options. The Data B Input Register Configuratin Multiplier dialog box appears. 35. In the What is the source for clock input? list, select Clock0 and in the What is the source for asynchronous clear input? list, select Aclr3 (Figure 2 22). Figure Data B Input Register Configuration Dialog Box 36. Click Done. 37. In the What is the input A of the multiplier connected to? and What is the input B of the multiplier connected to? lists, select Multiplier input. 38. Turn on Register output of the multiplier. Click More Options. The Output Register Configuration Multiplier 0 dialog box appears. 39. In the What is the source for clock input? list, select Clock0 and in the What is the source for asynchronous clear input? list, select Aclr3 (Figure 2 23). Figure Output Register Configuration Dialog Box 40. Click Done. 41. Click Next. Page 8 appears (Figure 2 24) 2 28 Altera Corporation altmult_accum Megafunction User Guide March 2007

41 Getting Started Figure MegaWizard Plug-In Manager ALTMULT_ACCUM (MAC) [page 8 of 10] 42. Turn on Create an 'accum_sload' input port. Click More Options. The 'accum_sload' Register Configuration dialog box appears. 43. Turn on Register 'accum_sload' input and Add an extra pipeline register. 44. Under Input Register and Pipeline Register in the What is the source for clock input? list, select Clock Under Input Register and Pipeline Register in the What is the source for asynchronous clear input? list, select Aclr3 (Figure 2 25). Altera Corporation 2 29 March 2007 altmult_accum Megafunction User Guide

42 Design Example: Basic Serial FIR Filter Figure 'accum_sload' Register Confirmation Dialog Box 46. Click Done. 47. Turn off Create an 'accum_sload_upper_data' input port of and Create an 'overflow' output port. 48. In the Add extra latency to the multiplier output list, select Click Finish. Page 10 appears (Figure 2 26) Altera Corporation altmult_accum Megafunction User Guide March 2007

43 Getting Started Figure MegaWizard Plug-In Manager ALTMULT_ACCUM (MAC) [page 10 of 10] 50. Verify the Quartus symbol file (.bsf), and Verilog Black Box declaration file (my_mac_bb.v) are turned on. Leave the other file options as is. 51. Click Finish. The altmult_accum module is built. 52. At the Symbol window, click OK. 53. Move the mouse to place the my_mac symbol in between the input and output ports in the serial_fir.bdf file. Click the left mouse button to place the symbol. 54. You have now completed the design file as shown in Figure On the File menu, click Save to save the design. Altera Corporation 2 31 March 2007 altmult_accum Megafunction User Guide

44 Design Example: Basic Serial FIR Filter Figure Complete Design File Implement the Multiplier-Accumulator Next, assign the Stratix II EP2S15F484C5 device to the project and compile the project. Perform the following steps: 1. On the Assignments menu, click Settings. The Settings dialog appears (Figure 2 28) Altera Corporation altmult_accum Megafunction User Guide March 2007

45 Getting Started Figure Settings filtref 2. In the Category list, select Device. In the Family list, select Stratix II. 3. In the Available devices list, select EP2S15F484C5. 4. Click OK. 5. On the Processing menu, click Start Compilation to compile the design. 6. When the compilation is complete, the Full Compilation was successful message appears. Click OK. Altera Corporation 2 33 March 2007 altmult_accum Megafunction User Guide

46 Design Example: Basic Serial FIR Filter 7. On the Assignments menu, click on Timing Closure Floorplan to view how the multiplier-accumulator is implemented in the Stratix II device. Functional Results Simulate the Multiplier-Accumulator in Quartus Next, simulate the design to verify the results. Set up the Quartus II Simulator settings by performing the following steps: 1. On the Processing menu, click Generate Functional Simulation Netlist. 2. When the generation is finished, the Functional Simulation Netlist Generation was successful message appears. Click OK. 3. On the Assignments menu, click Settings. The Settings dialog box appears (Figure 2 29) Altera Corporation altmult_accum Megafunction User Guide March 2007

47 Getting Started Figure Settings serial_fir 4. In the Category list, select Simulator Settings. 5. In the Simulation mode list, select Functional, and in the Simulation input list, select the serial_fir.wvf file. Click Open. 6. Under Simulation period, select Run simulation until all vector stimuli are used. Click OK to close the Settings dialog box. 7. On the Processing menu, click Start Simulation, Ctrl+I, or click the Simulation button in the toolbar to run the simulation. 8. When the simulation is complete, the Simulator was successful message appears. Click OK. Altera Corporation 2 35 March 2007 altmult_accum Megafunction User Guide

48 Design Example: Basic Serial FIR Filter 9. In the Simulation Report window, view the simulation output waveforms and verify the results. Figure 2 30 shows the expected simulation results for the serial FIR filter. Figure my_mac Simulation Report Functional Results Simulate the 8-bit Multiplier Design in ModelSim-Altera Simulate the design in ModelSim to compare the results of both simulators. Note that this ModelSim design example is for the ModelSim-Altera (Verilog) version. This User Guide assumes that you are familiar with using ModelSim-Altera before trying out the design example. If you are unfamiliar, please refer to software/products/modelsim/mod-modelsim.html, which is a support page for ModelSim-Altera. There are various links here to topics such as installation, usage, and troubleshooting. Set up the ModelSim-Altera simulator by performing the following steps: 1. Unzip the altmult_accum_msim.zip file to any working directory on your PC. 2. On the File menu, click Change Directory. 3. Select the folder where you have unzipped your files and click OK. 4. On the Tools menu, click Execute Macro. 5. Select serial_fir.do and click Open. This is a script file for ModelSim which automates all the necessary settings for the simulation. 6. Verify the results by looking at the Waveform Viewer window. You may need to rearrange signals, remove redundant signals, and change the radix to suit the results in the Quartus II Simulator. Figure 2 31 shows the expected simulation results in ModelSim Altera Corporation altmult_accum Megafunction User Guide March 2007

49 Getting Started Figure Simulation Results in ModelSim Conclusion The Quartus II software provides parameterizable megafunctions ranging from simple arithmetic units, such as adders and counters, to advanced phase-locked loop (PLL) blocks, multipliers, and memory structures. These megafunctions are performance-optimized for Altera devices and therefore, provide more efficient logic synthesis and device implementation, because they automate the coding process and save valuable design time. Altera recommends using these functions during design implementation so you can consistently meet your design goals. Altera Corporation 2 37 March 2007 altmult_accum Megafunction User Guide

altshift_taps Megafunction User Guide

altshift_taps Megafunction User Guide altshift_taps Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Document Version: 1.0 Document Date: September 2004 Copyright 2004 Altera Corporation. All rights

More information

lpm_compare Megafunction User Guide

lpm_compare Megafunction User Guide lpm_compare Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Document Version: 2.2 Software Version: 7.0 Document Date: March 2007 Copyright 2007 Altera Corporation.

More information

lpm_shiftreg Megafunction

lpm_shiftreg Megafunction lpm_shiftreg Megafunction 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Quartus II Software Version: 6.1 Document Version: 2.1 Document Date: December 2006 Copyright 2006 Altera

More information

lpm_mult Megafunction User Guide

lpm_mult Megafunction User Guide lpm_mult Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Software Version: 7.0 Document Version: 2.2 Document Date: March 2007 Copyright 2006 Altera Corporation.

More information

Memory-Based Multiplier (ALTMEMMULT) Megafunction User Guide

Memory-Based Multiplier (ALTMEMMULT) Megafunction User Guide Memory-Based Multiplier (ALTMEMMULT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.0 Document Version: 3.0 Document Date: July 2008 Copyright 2008 Altera

More information

lpm_rom Megafunction User Guide

lpm_rom Megafunction User Guide lpm_rom Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Software Version: 4.2 Document Version: 1.0 Document Date: March 2005 Copyright 2005 Altera Corporation.

More information

Floating Point Multiplier (ALTFP_MULT) Megafunction User Guide

Floating Point Multiplier (ALTFP_MULT) Megafunction User Guide Floating Point Multiplier (ALTFP_MULT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Quartus II Software Version: 8.0 Document Version: 3.0 Document Date: June 2008 Copyright

More information

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide 11 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8. Document Version: 2. Document Date: June 28

More information

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01026-1.0 Software Version: 7.2 Document Version: 1.0 Document Date: November 2007

More information

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.0 Document Version: 2.0 Document Date: May 2008 Copyright 2008

More information

Floating Point Inverse (ALTFP_INV) Megafunction User Guide

Floating Point Inverse (ALTFP_INV) Megafunction User Guide Floating Point Inverse (ALTFP_INV) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Document Version: 1.0 Document Date: October 2008 Copyright 2008 Altera Corporation. All

More information

Clock Control Block (ALTCLKCTRL) Megafunction User Guide

Clock Control Block (ALTCLKCTRL) Megafunction User Guide Clock Control Block (ALTCLKCTRL) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Document Version: 2.4 Document Date: December 2008 Copyright 2008 Altera Corporation. All

More information

Floating Point Compare. Megafunction User Guide (ALTFP_COMPARE) 101 Innovation Drive San Jose, CA

Floating Point Compare. Megafunction User Guide (ALTFP_COMPARE) 101 Innovation Drive San Jose, CA Floating Point Compare (ALTFP_COMPARE) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8.0 Document Version: 2.0 Document Date: May 2008 Copyright 2008 Altera

More information

MasterBlaster Serial/USB Communications Cable User Guide

MasterBlaster Serial/USB Communications Cable User Guide MasterBlaster Serial/USB Communications Cable User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Document Version: 1.0 Document Date: July 2004 P25-10322-00 Copyright

More information

Using MicroC/OS-II RTOS with the Nios II Processor Tutorial Preliminary Information

Using MicroC/OS-II RTOS with the Nios II Processor Tutorial Preliminary Information Using MicroC/OS-II RTOS with the Nios II Processor Tutorial Preliminary Information 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Copyright 2004 Altera Corporation. All rights

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 7.2 Document Version: 3.3 Document Date: November 2007 Copyright 2007

More information

Video Input Daughter Card Reference Manual

Video Input Daughter Card Reference Manual Video Input Daughter Card Reference Manual 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Document Version 1.0 Document Date November 2006 Copyright 2006 Altera Corporation.

More information

altufm Megafunction 101 Innovation Drive San Jose, CA (408)

altufm Megafunction 101 Innovation Drive San Jose, CA (408) altufm Megafunction 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Quartus II Software Version: 6.0 Document Version: 2.0 Document Date: August 2006 Copyright 2006 Altera Corporation.

More information

RAM-Based Shift Register (ALTSHIFT_TAPS) IP Core User Guide

RAM-Based Shift Register (ALTSHIFT_TAPS) IP Core User Guide RAM-Based Shift Register (ALTSHIFT_TAPS) IP Core User Guide RAM-Based Shift Register (ALTSHIFT_TAPS) IP Core User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01009-3.0 Document last

More information

DSP Development Kit, Stratix & Stratix Professional Edition Getting Started User Guide

DSP Development Kit, Stratix & Stratix Professional Edition Getting Started User Guide DSP Development Kit, Stratix & Stratix Professional Edition Getting Started User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com P25-08743-04 Development Kit Version: 1.3.0

More information

Color Space Converter

Color Space Converter Color Space Converter MegaCore Function User Guide April 2001 Core Version 2.0.0 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com A-UG-CSCONVERTER-1.0 Color Space Converter

More information

DSP Builder Handbook Volume 1: Introduction to DSP Builder

DSP Builder Handbook Volume 1: Introduction to DSP Builder DSP Builder Handbook Volume 1: Introduction to DSP Builder DSP Builder Handbook 101 Innovation Drive San Jose, CA 95134 www.altera.com HB_DSPB_INTRO-5.1 Document last updated for Altera Complete Design

More information

My First FPGA Design Tutorial

My First FPGA Design Tutorial 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com TU-01002-1.0 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized

More information

FIR Compiler MegaCore Function User Guide

FIR Compiler MegaCore Function User Guide FIR Compiler MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Operations Part Number MegaCore Function Version: 3.3.1 Document Version: 3.3.1 rev 2 Document

More information

DSP Builder Handbook Volume 1: Introduction to DSP Builder

DSP Builder Handbook Volume 1: Introduction to DSP Builder DSP Builder Handbook Volume 1: Introduction to DSP Builder DSP Builder Handbook 101 Innovation Drive San Jose, CA 95134 www.altera.com HB_DSPB_INTRO-4.0 Document last updated for Altera Complete Design

More information

PCI Express Development Kit, Stratix II GX Edition Getting Started User Guide

PCI Express Development Kit, Stratix II GX Edition Getting Started User Guide PCI Express Development Kit, Stratix II GX Edition Getting Started User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com P25-36002-01 Document Version: 1.0.2 Document Date: April

More information

Design Guidelines for Using DSP Blocks

Design Guidelines for Using DSP Blocks Design Guidelines for Using DSP Blocks in the Synplify Software April 2002, ver. 1.0 Application Note 193 Introduction Altera R Stratix TM devices have dedicated digital signal processing (DSP) blocks

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

UTOPIA Level 2 Slave MegaCore Function

UTOPIA Level 2 Slave MegaCore Function UTOPIA Level 2 Slave MegaCore Function October 2005, Version 2.5.0 Release Notes These release notes for the UTOPIA Level 2 Slave MegaCore function contain the following information: System Requirements

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

Altera Double Data Rate Megafunctions

Altera Double Data Rate Megafunctions Altera Double Data Rate Megafunctions User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Quartus II Version: 2.2 Document Version: 1.0 Document Date: May 2003 Copyright

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

ByteBlaster II Download Cable User Guide

ByteBlaster II Download Cable User Guide ByteBlaster II Download Cable User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com UG-BBII81204-1.1 P25-10324-00 Document Version: 1.1 Document Date: December 2004 Copyright

More information

Using Verplex Conformal LEC for Formal Verification of Design Functionality

Using Verplex Conformal LEC for Formal Verification of Design Functionality Using Verplex Conformal LEC for Formal Verification of Design Functionality January 2003, ver. 1.0 Application Note 296 Introduction The Altera Quartus II software, version 2.2, easily interfaces with

More information

DSP Builder Release Notes and Errata

DSP Builder Release Notes and Errata DSP Builder Release Notes and DSP Builder Release Notes and 101 Innovation Drive San Jose, CA 95134 www.altera.com RN-DSP004-7.0 Document last updated for Altera Complete Design Suite version: Document

More information

Table 1 shows the issues that affect the FIR Compiler v7.1.

Table 1 shows the issues that affect the FIR Compiler v7.1. May 2007, Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the Altera, v7.1. Errata are functional defects or errors, which may cause an Altera MegaCore function

More information

Avalon Streaming Interface Specification

Avalon Streaming Interface Specification Avalon Streaming Interface Specification 101 Innovation Drive San Jose, CA 95134 www.altera.com Document Version: 1.3 Document Date: June 2007 Copyright 2005 Altera Corporation. All rights reserved. Altera,

More information

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path March 2007, Version 6.1 Errata Sheet This document addresses known errata and documentation changes for DSP Builder version 6.1. Errata are functional defects or errors which may cause DSP Builder to deviate

More information

Design Guidelines for Using DSP Blocks

Design Guidelines for Using DSP Blocks Design Guidelines for Using DSP Blocks in the LeonardoSpectrum Software April 2002, ver. 1.0 Application Note 194 Introduction Altera R Stratix TM devices have dedicated digital signal processing (DSP)

More information

Nios II Custom Instruction User Guide Preliminary Information

Nios II Custom Instruction User Guide Preliminary Information Nios II Custom Instruction User Guide Preliminary Information 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Copyright 2007 Altera Corporation. All rights reserved. Altera,

More information

FIFO Partitioner Megafunction

FIFO Partitioner Megafunction FIFO Partitioner Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Document Version: 1.2 Document Date: August 2005 UG-IPFIFO-1.2 Copyright FIFO Partitioner

More information

FIFO Partitioner Function

FIFO Partitioner Function FIFO Partitioner Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Quartus II Version: 2.2 Document Version: 1.0 Document Date: April 2003 Copyright Copyright

More information

FFT MegaCore Function User Guide

FFT MegaCore Function User Guide FFT MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 11.0 Document Date: May 2011 Copyright 2011 Altera Corporation. All rights reserved. Altera, The

More information

Cyclone III FPGA Starter Kit User Guide

Cyclone III FPGA Starter Kit User Guide Cyclone III FPGA Starter Kit User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Document Date: April 2007 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable

More information

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow February 2002, ver. 2.0 Application Note 171 Introduction To maximize the benefits of the LogicLock TM block-based design methodology in the

More information

FIR Compiler. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408)

FIR Compiler. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408) FIR Compiler MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Core Version: 2.7.0 Document Version: 2.7.0 rev. 1 Document Date: July 2003 Copyright FIR

More information

Cyclone II FPGA Family

Cyclone II FPGA Family ES-030405-1.3 Errata Sheet Introduction This errata sheet provides updated information on Cyclone II devices. This document addresses known device issues and includes methods to work around the issues.

More information

PCI Express Compiler User Guide

PCI Express Compiler User Guide PCI Express Compiler User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore Version: 7.1 Document Date: May 2007 Copyright 2007 Altera Corporation. All rights reserved.

More information

RLDRAM II Controller MegaCore Function User Guide

RLDRAM II Controller MegaCore Function User Guide RLDRAM II Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore Version: 1.0.0 Document Version: 1.0.0 rev. 1 Document Date: October 2005

More information

4. DSP Blocks in Stratix IV Devices

4. DSP Blocks in Stratix IV Devices 4. DSP Blocks in Stratix IV Devices February 2011 SIV51004-3.1 SIV51004-3.1 This chapter describes how the Stratix IV device digital signal processing (DSP) blocks are optimized to support DSP applications

More information

DSP Development Kit, Stratix II Edition Getting Started User Guide

DSP Development Kit, Stratix II Edition Getting Started User Guide DSP Development Kit, Stratix II Edition Getting Started User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com P25-36008-00 Document Version: 6.0.1 Document Date: August 2006

More information

Intel Arria 10 Native Floating- Point DSP Intel FPGA IP User Guide

Intel Arria 10 Native Floating- Point DSP Intel FPGA IP User Guide Intel Arria 10 Native Floating- Point DSP Intel FPGA IP User Guide Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 Parameterizing the Intel Arria 10 Native Floating-Point

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller December 2005, Compiler Version 3.3.1 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1 contain the following information: System

More information

Cyclone Device Handbook, Volume 2

Cyclone Device Handbook, Volume 2 Cyclone Device Handbook, Volume 2 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Preliminary Information C5V2-1.1 Copyright 2005 Altera Corporation. All rights reserved. Altera,

More information

Nios II Development Kit Getting Started User Guide

Nios II Development Kit Getting Started User Guide Nios II Development Kit Getting Started User Guide Preliminary Information 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com P25-10108-03 Copyright 2005 Altera Corporation. All

More information

DSP Builder Release Notes and Errata

DSP Builder Release Notes and Errata DSP Builder Release Notes and DSP Builder Release Notes and 101 Innovation Drive San Jose, CA 95134 www.altera.com RN-DSP004-8.0 Document last updated for Altera Complete Design Suite version: Document

More information

DSP Builder Release Notes

DSP Builder Release Notes April 2006, Version 6.0 SP1 Release Notes These release notes for DSP Builder version 6.0 SP1 contain the following information: System Requirements New Features & Enhancements Errata Fixed in This Release

More information

Using the Serial FlashLoader With the Quartus II Software

Using the Serial FlashLoader With the Quartus II Software Using the Serial FlashLoader With the Quartus II Software July 2006, ver. 3.0 Application Note 370 Introduction Using the Joint Test Action Group () interface, the Altera Serial FlashLoader (SFL) is the

More information

USB BitJetLite Download Cable

USB BitJetLite Download Cable USB BitJetLite Download Cable User Guide, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Product Version: 1.0 Document Version: 1.0 Document Date: Copyright 2010,.All

More information

DSP Builder Release Notes and Errata

DSP Builder Release Notes and Errata DSP Builder Release Notes and 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 9.0 Document Date: 15 June 2009 Copyright 2009 Altera Corporation. All rights reserved. Altera, The

More information

Supporting Custom Boards with DSP Builder

Supporting Custom Boards with DSP Builder Supporting Custom Boards with DSP Builder April 2003, ver. 1.0 Application Note 221 Introduction As designs become more complex, verification becomes a critical, time consuming process. To address the

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller October 2005, Compiler Version 3.3.0 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.0 contain the following information: System

More information

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues.

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues. December 2006, Version 6.1 Errata Sheet This document addresses known errata and documentation issues for the Altera FIR Compiler, v6.1. Errata are functional defects or errors, which may cause an Altera

More information

PCI Express Compiler User Guide

PCI Express Compiler User Guide PCI Express Compiler User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore Version: 6.1 Document Version: 6.1 rev. 2 Document Date: December 2006 Copyright 2006 Altera

More information

POS-PHY Level 2 & 3 Compiler

POS-PHY Level 2 & 3 Compiler POS-PHY Level 2 & 3 Compiler User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Core Version: 1.1.1 Document Version: 1.1.1 rev1 Document Date: July 2003 Copyright 2003 Altera

More information

Phase-Locked Loop Reconfiguration (ALTPLL_RECONFIG) Megafunction

Phase-Locked Loop Reconfiguration (ALTPLL_RECONFIG) Megafunction Phase-Locked Loop Reconfiguration (ALTPLL_RECONFIG) Megafunction UG-032405-6.0 User Guide This user guide describes the features and behavior of the ALTPLL_RECONFIG megafunction that you can configure

More information

RLDRAM II Controller MegaCore Function

RLDRAM II Controller MegaCore Function RLDRAM II Controller MegaCore Function November 2006, MegaCore Version 1.0.0 Errata Sheet This document addresses known errata and documentation issues for the RLDRAM II Controller MegaCore function version

More information

FPGAs Provide Reconfigurable DSP Solutions

FPGAs Provide Reconfigurable DSP Solutions FPGAs Provide Reconfigurable DSP Solutions Razak Mohammedali Product Marketing Engineer Altera Corporation DSP processors are widely used for implementing many DSP applications. Although DSP processors

More information

CRC Compiler User Guide

CRC Compiler User Guide CRC Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera Corporation. All rights reserved. Altera, The Programmable

More information

Correlator. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408)

Correlator. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408) Correlator MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Core Version: 1.1.0 Document Version: 1.1.0 rev 1 Document Date: December 2002 Correlator

More information

Design Verification Using the SignalTap II Embedded

Design Verification Using the SignalTap II Embedded Design Verification Using the SignalTap II Embedded Logic Analyzer January 2003, ver. 1.0 Application Note 280 Introduction The SignalTap II embedded logic analyzer, available exclusively in the Altera

More information

PCI Express Compiler. System Requirements. New Features & Enhancements

PCI Express Compiler. System Requirements. New Features & Enhancements April 2006, Compiler Version 2.1.0 Release Notes These release notes for the PCI Express Compiler version 2.1.0 contain the following information: System Requirements New Features & Enhancements Errata

More information

Using the DSP Blocks in Stratix & Stratix GX Devices

Using the DSP Blocks in Stratix & Stratix GX Devices Using the SP Blocks in Stratix & Stratix GX evices November 2002, ver. 3.0 Application Note 214 Introduction Traditionally, designers had to make a trade-off between the flexibility of off-the-shelf digital

More information

Quartus II Handbook, Volume 3 Verification

Quartus II Handbook, Volume 3 Verification Quartus II Handbook, Volume 3 Verification Preliminary Information 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com qii5v3_2.1 Copyright 2004 Altera Corporation. All rights

More information

Design Guidelines for Optimal Results in High-Density FPGAs

Design Guidelines for Optimal Results in High-Density FPGAs White Paper Introduction Design Guidelines for Optimal Results in High-Density FPGAs Today s FPGA applications are approaching the complexity and performance requirements of ASICs. In some cases, FPGAs

More information

CORDIC Reference Design. Introduction. Background

CORDIC Reference Design. Introduction. Background CORDIC Reference Design June 2005, ver. 1.4 Application Note 263 Introduction The co-ordinate rotation digital computer (CORDIC) reference design implements the CORDIC algorithm, which converts cartesian

More information

Tutorial for Altera DE1 and Quartus II

Tutorial for Altera DE1 and Quartus II Tutorial for Altera DE1 and Quartus II Qin-Zhong Ye December, 2013 This tutorial teaches you the basic steps to use Quartus II version 13.0 to program Altera s FPGA, Cyclone II EP2C20 on the Development

More information

Floating-Point Megafunctions User Guide

Floating-Point Megafunctions User Guide Floating-Point Megafunctions User Guide Floating-Point Megafunctions User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Copyright 2011 Altera Corporation. All rights reserved. Altera, The

More information

ByteBlaster II Download Cable User Guide

ByteBlaster II Download Cable User Guide ByteBlaster II Download Cable User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.0 Document Version: 1.4 Document Date: July 2008 Copyright 2008 Altera Corporation. All

More information

Nios II Custom Instruction User Guide Preliminary Information

Nios II Custom Instruction User Guide Preliminary Information Nios II Custom Instruction User Guide Preliminary Information 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Copyright 2004 Altera Corporation. All rights reserved. Altera,

More information

Video and Image Processing Suite

Video and Image Processing Suite Video and Image Processing Suite December 2006, Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the MegaCore functions in the Video and Image Processing Suite,

More information

Arria GX Development Kit Getting Started User Guide

Arria GX Development Kit Getting Started User Guide Arria GX Development Kit Getting Started User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com P25-36169-00 Document Date: October 2007 Copyright 2007 Altera Corporation. All

More information

Remote Drive. Quick Start Guide. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 0.1.

Remote Drive. Quick Start Guide. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 0.1. Remote Drive Quick Start Guide, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Version : 0.1.1 Date : July 17, 2007 Copyright 2007,.All rights reserved. SLS,

More information

Implementing FIR Filters

Implementing FIR Filters Implementing FIR Filters in FLEX Devices February 199, ver. 1.01 Application Note 73 FIR Filter Architecture This section describes a conventional FIR filter design and how the design can be optimized

More information

13. Recommended HDL Coding Styles

13. Recommended HDL Coding Styles 13. Recommed HDL Coding Styles November 2013 QII51007-13.1.0 QII51007-13.1.0 This chapter provides Hardware Description Language (HDL) coding style recommations to ensure optimal synthesis results when

More information

Using Library Modules in Verilog Designs

Using Library Modules in Verilog Designs Using Library Modules in Verilog Designs This tutorial explains how Altera s library modules can be included in Verilog-based designs, which are implemented by using the Quartus R II software. Contents:

More information

Estimating Nios Resource Usage & Performance

Estimating Nios Resource Usage & Performance Estimating Nios Resource Usage & Performance in Altera Devices September 2001, ver. 1.0 Application Note 178 Introduction The Excalibur Development Kit, featuring the Nios embedded processor, includes

More information

Using Library Modules in Verilog Designs. 1 Introduction. For Quartus II 13.0

Using Library Modules in Verilog Designs. 1 Introduction. For Quartus II 13.0 Using Library Modules in Verilog Designs For Quartus II 13.0 1 Introduction This tutorial explains how Altera s library modules can be included in Verilog-based designs, which are implemented by using

More information

ZBT SRAM Controller Reference Design

ZBT SRAM Controller Reference Design ZBT SRAM Controller Reference Design for APEX II Devices December 2001, ver. 1.0 Application Note 183 Introduction As communication systems require more low-latency, high-bandwidth interfaces for peripheral

More information

MAX+PLUS II Advanced Synthesis

MAX+PLUS II Advanced Synthesis MAX+PLUS II Advanced Synthesis User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Document Version: 1.0 Document Date: April 2003 UG-MAX2SYN-1.0 Copyright MAX+PLUS

More information

16. Design Debugging Using In-System Sources and Probes

16. Design Debugging Using In-System Sources and Probes June 2012 QII53021-12.0.0 16. Design Debugging Using In-System Sources and Probes QII53021-12.0.0 This chapter provides detailed instructions about how to use the In-System Sources and Probes Editor and

More information

ByteBlaster II Parallel Port Download Cable

ByteBlaster II Parallel Port Download Cable ByteBlaster II Parallel Port Download Cable December 2002, Version 1.0 Data Sheet Features Allows PC users to perform the following functions: Program MAX 9000, MAX 7000S, MAX 7000AE, MAX 7000B, MAX 3000A,

More information

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace Introduction White Paper Between Altera Classic Timing Analyzer and Xilinx Trace Most hardware designers who are qualifying FPGA performance normally run bake-off -style software benchmark comparisons

More information

FFT MegaCore Function User Guide

FFT MegaCore Function User Guide FFT MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 8.1 Document Date: November 2008 Copyright 2008 Altera Corporation. All rights reserved. Altera,

More information

POS-PHY Level 4 MegaCore Function

POS-PHY Level 4 MegaCore Function POS-PHY Level 4 MegaCore Function November 2004, MegaCore Version 2.2.2 Errata Sheet Introduction This document addresses known errata and documentation changes for version v2.2.2 of the POS-PHY Level

More information

DDR SDRAM Controller. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408)

DDR SDRAM Controller. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408) DDR SDRAM Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Core Version: 1.2.0 Document Version: 1.2.0 rev 1 Document Date: March 2003

More information

8B10B Encoder/Decoder MegaCore Function

8B10B Encoder/Decoder MegaCore Function 8B10B Encoder/Decoder MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Core Version: 1.3.2 Document Version: 1.3.2 rev1 Document Date: December 2002 Copyright

More information

January 1996, ver. 1 Functional Specification 1

January 1996, ver. 1 Functional Specification 1 FIR Filters January 1996, ver. 1 Functional Specification 1 Features High-speed operation: up to 105 million samples per second (MSPS) -, 16-, 24-, 32-, and 64-tap finite impulse response (FIR) filters

More information