ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7

Size: px
Start display at page:

Download "ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER 8.7"

Transcription

1 ISSCC 2003 / SESSION 8 / COMMUNICATIONS SIGNAL PROCESSING / PAPER A Programmable Turbo Decoder for Multiple 3G Wireless Standards Myoung-Cheol Shin, In-Cheol Park KAIST, Daejeon, Republic of Korea As turbo codes [1] have extremely impressive performance, the 3G mobile radio systems such as W-CDMA and cdma2000 adopted them for channel coding. Although the previous turbo decoders are developed for a single standard or a fixed data rate, flexible and programmable decoders are required for 3G communications because: 1) global roaming is recommended between different 3G standards, and 2) the frame size may change on a frame basis. Figure shows how the two standards are different. As the recursive systematic convolutional (RSC) code of W-CDMA is actually a subset of cdma2000, a soft-input-soft-output (SISO) RSC decoder compatible with both standards can be implemented without much difficulty. However, the interleavers make the implementation of a multi-standard turbo decoder difficult, as the operations and parameters of their generation rules are distinct and complex. Fortunately, the standards share the general concept of block interleavers that write data in a two-dimensional matrix row by row, permutes them, and read them out column by column. A multi-standard turbo decoder implemented with a configurable hardware SISO decoder and a single-instruction multiple-data (SIMD) processor performing flexible tasks such as interleaving is proposed. An incremental interleaving algorithm and specialized instructions suitable for 3G communications are developed to provide interleaved data at the speed of the hardware SISO and change the interleaver structure in a very short time. The proposed turbo decoder is shown in Fig , which has the simplest time-multiplex architecture containing only one SISO, one interleaver, and one extrinsic log-likelihood ratio (LLR) Λ e memory. All the components are shared for both the first and the second SISO decoding of an iteration. Data are read and written in a sequential order for the first decoding, and in an interleaved order for the second decoding. The buffer memory block in Fig stores the received systematic sequence y s and the parity sequence y p s multiplied by the channel reliability L c = 2 /σ 2, and provides them to the SISO. The SIMD processor plays the role of the interleaver. When it calculates interleaved read addresses, the address queue whose length is the SISO latency saves them to use again as the write addresses. In addition to the interleaving, the processor controls the hardware blocks, interfaces with an external host, processes the trellis termination and a stopping criterion during the SISO decoding that does not need an interleaver. The processor controls the configurable hardware blocks by setting their control registers or by loading micro-programs in their hardware controllers. The architecture of the proposed SISO decoder is similar to the memory architecture presented in [2] with a sliding window size of 32. The SISO produces one decoded data every two cycles, and consists of four groups of add-compare-select-add (ACSA) units and four separated memories shown in Fig Input data are read into one of the Γ memories and used three times for calculating forward metrics, backward metrics, and extrinsic LLR s. To support multiple standards, it employs configurable ACSA units. Figure shows an example of the ACSA units calculating a forward metric Α k (s). The input multiplexers change the coding rate and the transfer function, while the second multiplexer selects the decoding algorithm: Log-MAP or Max-Log-MAP [3]. To keep pace with the hardware SISO, parallel processing is indispensable for interleaved address generation. A SIMD architecture depicted in Fig is suitable for the simple and repetitive address generation and has simpler control and lower power consumption than VLIW or superscalar architectures. The SIMD processor has four pipeline stages, and the bit widths of instructions and data are all 16. It has five processing elements (PEs) since the number of rows of W-CDMA block interleaver is a multiple of five. The first PE, PE 0, plays the role of controlling the other PEs and processing scalar operations. It fetches, decodes, and executes instructions including control and multi-cycle scalar instructions, while the other PEs only execute SIMD instructions. The common register files of five PEs form a five-element vector register file to store the data for parallel operations. PE 0 has an additional scalar register file to store scalar and control data. Note that a SIMD instruction is not executed in all PEs simultaneously, but executed serially so that a data memory port and an I/O port can be shared in a time-multiplexed fashion saving memory access power and providing a simple I/O interface. Three specialized SIMD processor instructions, named store to output port if less than (STOLT), subtract if greater or equal (SUBGE), and LOOP, are introduced to replace common instruction sequences appearing in interleaver programs. Each of them takes only one clock cycle to execute and is equivalent to a sequence of three typical RISC instructions. 3G wireless systems support a variable bit rate which may result in full reconstruction of the interleaver at every 10ms or 20ms frame. Generating the whole interleaved address pattern at once consumes once is time-consuming and requires a large-sized RAM to store the pattern. As a solution the interleaver generation into is split into two parts: preprocessing for interleaving and incremental on-the-fly address generation. When the bit rate changes, only the preprocessing is performed to prepare a relatively small number of seed variables. Whenever the interleaved address sequence is required, the SIMD processor generates it column by column using the seed variables. The splitting method reduces the timing overhead of frame size changes. It also requires only a small memory to save the seed data. Using these instructions reduces the basic block lengths of the onthe-fly generation of W-CDMA, cdma2000, and CCSDS to six, five, and four instructions, respectively. The five PEs can then provide one address per cycle for cdma2000 turbo decoding. A multi-standard interleaver is realized by switching several interleaver programs. Implementation of an entire turbo decoder system supports both W-CDMA and cdma2000 1x RTT turbo codes in a 0.25µm CMOS technology. The characteristics of the chip are summarized in Fig and the micrograph is shown in Fig The maximum data rate is 5.48Mb/s, indicating that the decoder is sufficient for the 2Mb/s 3G standards. Compared to an ideal turbo decoder, the BER performance of the proposed decoder shown in Fig is degraded by less than 0.05dB, mainly due to fixedpoint arithmetic. Simulations for high bit rate interleavers showed that the on-the-fly generation is almost as fast as one address per cycle. In addition, the preprocessing time is shorter than the SISO decoding, which can completely hide the overhead as the preprocessing completes during the first SISO decoding. Acknowledgments This work was supported in part by KOSEF through the MICROS center, MOST and MOCIE through System IC 2010, and MIC through the CHiPS ITRC. The authors thank IDEC for fabrication support. References [1] C. Berrou, A. Glavieux, and P. Thitimajshima, Near Shannon Limit Error-Correcting Coding and Decoding: Turbo-Codes, Proc. ICC 93, pp , [2] G. Masera, G. Piccinini, M.R. Roch, and M Zamboni, VLSI Architectures for Turbo Codes, IEEE Trans. VLSI Systems, vol. 7, pp , Sep [3] P. Robertson, E. Villebrun, and P. Hoeher, A Comparison of Optimal and Sub-Optimal MAP Decoding Algorithms Operating in the Log Domain, Proc. ICC 95, pp , June 1995.

2 ISSCC 2003 / February 11, 2003 / Salon 7 / 11:45 AM D+ D + D D + D Λ Γ Γ Γ Α 8 Figure 8.7.1: Differences between the cdma2000 and W-CDMA turbo codes. Figure 8.7.2: Block diagram of the proposed decoder. Λ Γ Α Α Γ Α Λ Figure 8.7.3: ACSA unit for a forward metric A k (s). Figure 8.7.4: Architecture of the SIMD processor. Figure 8.7.5: Summary of the chip implementation. Figure 8.7.6: Chip micrograph.

3 Figure 8.7.7: BER performances in an AWGN channel. 8

4 D+ D + D D + D Figure 8.7.1: Differences between the cdma2000 and W-CDMA turbo codes.

5 Λ Γ Γ Γ Α Figure 8.7.2: Block diagram of the proposed decoder.

6 Λ Γ Α Α Α Γ Λ Figure 8.7.3: ACSA unit for a forward metric A k (s).

7 Figure 8.7.4: Architecture of the SIMD processor.

8 Figure 8.7.5: Summary of the chip implementation.

9 Figure 8.7.6: Chip micrograph.

10 Figure 8.7.7: BER performances in an AWGN channel.

Programmable Turbo Decoder Supporting Multiple Third-Generation Wireless Standards

Programmable Turbo Decoder Supporting Multiple Third-Generation Wireless Standards Programmable Turbo Decoder Supporting Multiple Third-eneration Wireless Standards Myoung-Cheol Shin and In-Cheol Park Department of Electrical Engineering and Computer Science, KAIST Yuseong-gu Daejeon,

More information

AS TURBO codes [1], or parallel concatenated convolutional

AS TURBO codes [1], or parallel concatenated convolutional IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 7, JULY 2007 801 SIMD Processor-Based Turbo Decoder Supporting Multiple Third-Generation Wireless Standards Myoung-Cheol Shin,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 56, NO. 1, JANUARY 2009 81 Bit-Level Extrinsic Information Exchange Method for Double-Binary Turbo Codes Ji-Hoon Kim, Student Member,

More information

THE turbo code is one of the most attractive forward error

THE turbo code is one of the most attractive forward error IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 2, FEBRUARY 2016 211 Memory-Reduced Turbo Decoding Architecture Using NII Metric Compression Youngjoo Lee, Member, IEEE, Meng

More information

High Speed Downlink Packet Access efficient turbo decoder architecture: 3GPP Advanced Turbo Decoder

High Speed Downlink Packet Access efficient turbo decoder architecture: 3GPP Advanced Turbo Decoder I J C T A, 9(24), 2016, pp. 291-298 International Science Press High Speed Downlink Packet Access efficient turbo decoder architecture: 3GPP Advanced Turbo Decoder Parvathy M.*, Ganesan R.*,** and Tefera

More information

VHDL Implementation of different Turbo Encoder using Log-MAP Decoder

VHDL Implementation of different Turbo Encoder using Log-MAP Decoder 49 VHDL Implementation of different Turbo Encoder using Log-MAP Decoder Akash Kumar Gupta and Sanjeet Kumar Abstract Turbo code is a great achievement in the field of communication system. It can be created

More information

Non-Binary Turbo Codes Interleavers

Non-Binary Turbo Codes Interleavers Non-Binary Turbo Codes Interleavers Maria KOVACI, Horia BALTA University Polytechnic of Timişoara, Faculty of Electronics and Telecommunications, Postal Address, 30223 Timişoara, ROMANIA, E-Mail: mariakovaci@etcuttro,

More information

Comparison of Decoding Algorithms for Concatenated Turbo Codes

Comparison of Decoding Algorithms for Concatenated Turbo Codes Comparison of Decoding Algorithms for Concatenated Turbo Codes Drago Žagar, Nenad Falamić and Snježana Rimac-Drlje University of Osijek Faculty of Electrical Engineering Kneza Trpimira 2b, HR-31000 Osijek,

More information

TURBO codes, [1], [2], have attracted much interest due

TURBO codes, [1], [2], have attracted much interest due 800 IEEE TRANSACTIONS ON INFORMATION THEORY, VOL. 47, NO. 2, FEBRUARY 2001 Zigzag Codes and Concatenated Zigzag Codes Li Ping, Member, IEEE, Xiaoling Huang, and Nam Phamdo, Senior Member, IEEE Abstract

More information

Exploring Parallel Processing Levels for Convolutional Turbo Decoding

Exploring Parallel Processing Levels for Convolutional Turbo Decoding Exploring Parallel Processing Levels for Convolutional Turbo Decoding Olivier Muller Electronics Department, GET/EST Bretagne Technopôle Brest Iroise, 29238 Brest, France olivier.muller@enst-bretagne.fr

More information

Low Complexity Architecture for Max* Operator of Log-MAP Turbo Decoder

Low Complexity Architecture for Max* Operator of Log-MAP Turbo Decoder International Journal of Current Engineering and Technology E-ISSN 2277 4106, P-ISSN 2347 5161 2015 INPRESSCO, All Rights Reserved Available at http://inpressco.com/category/ijcet Research Article Low

More information

Memory-Reduced Turbo Decoding Architecture Using NII Metric Compression

Memory-Reduced Turbo Decoding Architecture Using NII Metric Compression Memory-Reduced Turbo Decoding Architecture Using NII Metric Compression Syed kareem saheb, Research scholar, Dept. of ECE, ANU, GUNTUR,A.P, INDIA. E-mail:sd_kareem@yahoo.com A. Srihitha PG student dept.

More information

BER Guaranteed Optimization and Implementation of Parallel Turbo Decoding on GPU

BER Guaranteed Optimization and Implementation of Parallel Turbo Decoding on GPU 2013 8th International Conference on Communications and Networking in China (CHINACOM) BER Guaranteed Optimization and Implementation of Parallel Turbo Decoding on GPU Xiang Chen 1,2, Ji Zhu, Ziyu Wen,

More information

OPTIMIZED MAP TURBO DECODER

OPTIMIZED MAP TURBO DECODER OPTIMIZED MAP TURBO DECODER Curt Schurgers Francy Catthoor Marc Engels EE Department IMEC/KUL IMEC UCLA Kapeldreef 75 Kapeldreef 75 Los Angeles, CA 90024 3001 Heverlee 3001 Heverlee USA Belgium Belgium

More information

EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL INTERLEAVER FOR LONG TERM EVOLUTION SYSTEMS

EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL INTERLEAVER FOR LONG TERM EVOLUTION SYSTEMS Rev. Roum. Sci. Techn. Électrotechn. et Énerg. Vol. 61, 1, pp. 53 57, Bucarest, 016 Électronique et transmission de l information EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL

More information

Implementation Aspects of Turbo-Decoders for Future Radio Applications

Implementation Aspects of Turbo-Decoders for Future Radio Applications Implementation Aspects of Turbo-Decoders for Future Radio Applications Friedbert Berens STMicroelectronics Advanced System Technology Geneva Applications Laboratory CH-1215 Geneva 15, Switzerland e-mail:

More information

ISSCC 2003 / SESSION 2 / MULTIMEDIA SIGNAL PROCESSING / PAPER 2.6

ISSCC 2003 / SESSION 2 / MULTIMEDIA SIGNAL PROCESSING / PAPER 2.6 ISSCC 2003 / SESSION 2 / MULTIMEDIA SIGNAL PROCESSING / PAPER 2.6 2.6 A 51.2GOPS Scalable Video Recognition Processor for Intelligent Cruise Control Based on a Linear Array of 128 4-Way VLIW Processing

More information

The Lekha 3GPP LTE Turbo Decoder IP Core meets 3GPP LTE specification 3GPP TS V Release 10[1].

The Lekha 3GPP LTE Turbo Decoder IP Core meets 3GPP LTE specification 3GPP TS V Release 10[1]. Lekha IP Core: LW RI 1002 3GPP LTE Turbo Decoder IP Core V1.0 The Lekha 3GPP LTE Turbo Decoder IP Core meets 3GPP LTE specification 3GPP TS 36.212 V 10.5.0 Release 10[1]. Introduction The Lekha IP 3GPP

More information

Implementation of Turbo Product Codes in the FEC-API. Kiran Karra Virginia Tech

Implementation of Turbo Product Codes in the FEC-API. Kiran Karra Virginia Tech Implementation of Turbo Product Codes in the FEC-API Kiran Karra Virginia Tech Agenda Introduction Turbo Product Code Encoding Overview Turbo Product Code Decoding Overview Implementation in C++ BER Performance

More information

Hard Decision Based Low SNR Early Termination for LTE Turbo Decoding

Hard Decision Based Low SNR Early Termination for LTE Turbo Decoding Hard Decision Based Low SNR Early Termination for LTE Turbo Decoding Jan Geldmacher, Klaus Hueske, and Jürgen Götze Information Processing Lab, TU Dortmund University Otto-Hahn-Strasse 4, 447 Dortmund,

More information

Further Specialization of Clustered VLIW Processors: A MAP Decoder for Software Defined Radio

Further Specialization of Clustered VLIW Processors: A MAP Decoder for Software Defined Radio Further Specialization of Clustered VLIW Processors: A MAP Decoder for Software Defined Radio Pablo Ituero and Marisa López-Vallejo Turbo codes are extensively used in current communications standards

More information

TURBO CODES with performance near the Shannon

TURBO CODES with performance near the Shannon IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 4, APRIL 2005 427 Parallel Interleaver Design and VLSI Architecture for Low-Latency MAP Turbo Decoders Rostislav (Reuven)

More information

Reduced complexity Log-MAP algorithm with Jensen inequality based non-recursive max operator for turbo TCM decoding

Reduced complexity Log-MAP algorithm with Jensen inequality based non-recursive max operator for turbo TCM decoding Sybis and Tyczka EURASIP Journal on Wireless Communications and Networking 2013, 2013:238 RESEARCH Open Access Reduced complexity Log-MAP algorithm with Jensen inequality based non-recursive max operator

More information

Research Article Cooperative Signaling with Soft Information Combining

Research Article Cooperative Signaling with Soft Information Combining Electrical and Computer Engineering Volume 2010, Article ID 530190, 5 pages doi:10.1155/2010/530190 Research Article Cooperative Signaling with Soft Information Combining Rui Lin, Philippa A. Martin, and

More information

Discontinued IP. Verification

Discontinued IP. Verification 0 3GPP2 Turbo Decoder v2.1 DS275 February 15, 2007 0 0 Features Drop-in module for Spartan -3, Spartan-3E, Spartan-3A/3AN, Virtex -II, Virtex-II Pro, Virtex-4, and Virtex-5 FPGAs Implements the CDMA2000/3GPP2

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 10, OCTOBER 2006 1147 Transactions Briefs Highly-Parallel Decoding Architectures for Convolutional Turbo Codes Zhiyong He,

More information

EFFICIENT PARALLEL MEMORY ORGANIZATION FOR TURBO DECODERS

EFFICIENT PARALLEL MEMORY ORGANIZATION FOR TURBO DECODERS In Proceedings of the European Signal Processing Conference, pages 831-83, Poznan, Poland, September 27. EFFICIENT PARALLEL MEMORY ORGANIZATION FOR TURBO DECODERS Perttu Salmela, Ruirui Gu*, Shuvra S.

More information

Iterative Decoder Architectures

Iterative Decoder Architectures Iterative Decoder Architectures Submitted to IEEE Communications Magazine Engling Yeo, Borivoje Nikolic, and Venkat Anantharam Department of Electrical Engineering and Computer Sciences University of California,

More information

IEEE Proof Web Version

IEEE Proof Web Version IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 From Parallelism Levels to a Multi-ASIP Architecture for Turbo Decoding Olivier Muller, Member, IEEE, Amer Baghdadi, and Michel Jézéquel,

More information

THERE has been great interest in recent years in coding

THERE has been great interest in recent years in coding 186 IEEE JOURNAL ON SELECTED AREAS IN COMMUNICATIONS, VOL. 16, NO. 2, FEBRUARY 1998 Concatenated Decoding with a Reduced-Search BCJR Algorithm Volker Franz and John B. Anderson, Fellow, IEEE Abstract We

More information

ISSCC 2001 / SESSION 9 / INTEGRATED MULTIMEDIA PROCESSORS / 9.2

ISSCC 2001 / SESSION 9 / INTEGRATED MULTIMEDIA PROCESSORS / 9.2 ISSCC 2001 / SESSION 9 / INTEGRATED MULTIMEDIA PROCESSORS / 9.2 9.2 A 80/20MHz 160mW Multimedia Processor integrated with Embedded DRAM MPEG-4 Accelerator and 3D Rendering Engine for Mobile Applications

More information

Discontinued IP. 3GPP2 Turbo Decoder v1.0. Features. Applications. General Description

Discontinued IP. 3GPP2 Turbo Decoder v1.0. Features. Applications. General Description 0 3GPP2 Turbo Decoder v1.0 DS275 April 28, 2005 0 0 Features Drop-in module for Spartan -3, Spartan-3E, Virtex -II and Virtex-II Pro FPGAs Implements the CDMA2000/3GPP2 specification[1] Core contains the

More information

Design of Low-Power High-Speed Maximum a Priori Decoder Architectures

Design of Low-Power High-Speed Maximum a Priori Decoder Architectures Design of Low-Power High-Speed Maximum a Priori Decoder Architectures Alexander Worm Λ, Holger Lamm, Norbert Wehn Institute of Microelectronic Systems Department of Electrical Engineering and Information

More information

A Modified Medium Access Control Algorithm for Systems with Iterative Decoding

A Modified Medium Access Control Algorithm for Systems with Iterative Decoding A Modified Medium Access Control Algorithm for Systems with Iterative Decoding Inkyu Lee Carl-Erik W. Sundberg Sunghyun Choi Dept. of Communications Eng. Korea University Seoul, Korea inkyu@korea.ac.kr

More information

Capacity-approaching Codes for Solid State Storages

Capacity-approaching Codes for Solid State Storages Capacity-approaching Codes for Solid State Storages Jeongseok Ha, Department of Electrical Engineering Korea Advanced Institute of Science and Technology (KAIST) Contents Capacity-Approach Codes Turbo

More information

High Throughput Radix-4 SISO Decoding Architecture with Reduced Memory Requirement

High Throughput Radix-4 SISO Decoding Architecture with Reduced Memory Requirement JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.4, AUGUST, 2014 http://dx.doi.org/10.5573/jsts.2014.14.4.407 High Throughput Radix-4 SISO Decoding Architecture with Reduced Memory Requirement

More information

Reduced-State Soft-Input/Soft-Output Algorithms for Complexity Reduction in Iterative and Non-Iterative Data Detection

Reduced-State Soft-Input/Soft-Output Algorithms for Complexity Reduction in Iterative and Non-Iterative Data Detection Reduced-State Soft-Input/Soft-Output Algorithms for Complexity Reduction in Iterative and Non-Iterative Data Detection Xiaopeng Chen and Keith M. Chugg Abstract Soft-input/soft-output (SISO) algorithms

More information

Interlaced Column-Row Message-Passing Schedule for Decoding LDPC Codes

Interlaced Column-Row Message-Passing Schedule for Decoding LDPC Codes Interlaced Column-Row Message-Passing Schedule for Decoding LDPC Codes Saleh Usman, Mohammad M. Mansour, Ali Chehab Department of Electrical and Computer Engineering American University of Beirut Beirut

More information

Overlapped Scheduling for Folded LDPC Decoding Based on Matrix Permutation

Overlapped Scheduling for Folded LDPC Decoding Based on Matrix Permutation Overlapped Scheduling for Folded LDPC Decoding Based on Matrix Permutation In-Cheol Park and Se-Hyeon Kang Department of Electrical Engineering and Computer Science, KAIST {icpark, shkang}@ics.kaist.ac.kr

More information

ERROR correcting codes are used to increase the bandwidth

ERROR correcting codes are used to increase the bandwidth 404 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 3, MARCH 2002 A 690-mW 1-Gb/s 1024-b, Rate-1/2 Low-Density Parity-Check Code Decoder Andrew J. Blanksby and Chris J. Howland Abstract A 1024-b, rate-1/2,

More information

Quasi-Cyclic Low-Density Parity-Check (QC-LDPC) Codes for Deep Space and High Data Rate Applications

Quasi-Cyclic Low-Density Parity-Check (QC-LDPC) Codes for Deep Space and High Data Rate Applications Quasi-Cyclic Low-Density Parity-Check (QC-LDPC) Codes for Deep Space and High Data Rate Applications Nikoleta Andreadou, Fotini-Niovi Pavlidou Dept. of Electrical & Computer Engineering Aristotle University

More information

A New MIMO Detector Architecture Based on A Forward-Backward Trellis Algorithm

A New MIMO Detector Architecture Based on A Forward-Backward Trellis Algorithm A New MIMO etector Architecture Based on A Forward-Backward Trellis Algorithm Yang Sun and Joseph R Cavallaro epartment of Electrical and Computer Engineering Rice University, Houston, TX 775 Email: {ysun,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 56, NO. 5, MAY 2009 1005 Low-Power Memory-Reduced Traceback MAP Decoding for Double-Binary Convolutional Turbo Decoder Cheng-Hung Lin,

More information

High speed low complexity radix-16 Max-Log-MAP SISO decoder

High speed low complexity radix-16 Max-Log-MAP SISO decoder High speed low complexity radix-16 Max-Log-MAP SISO decoder Oscar David Sanchez Gonzalez, Christophe Jego, Michel Jezequel, Yannick Saouter To cite this version: Oscar David Sanchez Gonzalez, Christophe

More information

W-CDMA CHANNEL CODEC BY CONFIGURABLE PROCESSORS

W-CDMA CHANNEL CODEC BY CONFIGURABLE PROCESSORS Intelligent Automation and Soft Computing, Vol. 12, No. 3, pp. 317-329, 2006 Copyright 2006, TSI Press Printed in the USA. All rights reserved W-CDMA CHANNEL CODEC BY CONFIGURABLE PROCESSORS MASANAO ISE

More information

Research Article Parallelism Efficiency in Convolutional Turbo Decoding

Research Article Parallelism Efficiency in Convolutional Turbo Decoding Hindawi Publishing Corporation EURASIP Journal on Advances in Signal Processing Volume 21, Article ID 92792, 11 pages doi:1.1155/21/92792 Research Article Parallelism Efficiency in Convolutional Turbo

More information

DESIGN AND IMPLEMENTATION FOR A MULTI- STANDARD TURBO DECODER USING A RECONFIGURABLE ASIP

DESIGN AND IMPLEMENTATION FOR A MULTI- STANDARD TURBO DECODER USING A RECONFIGURABLE ASIP DESIGN AND IMPLEMENTATION FOR A MULTI- STANDARD TURBO DECODER USING A RECONFIGURABLE ASIP By Eid Mohamed Abdel-Hamid Abdel-Azim A Thesis Submitted to the Faculty of Engineering at Cairo University in Partial

More information

Implementation of a Turbo Encoder and Turbo Decoder on DSP Processor-TMS320C6713

Implementation of a Turbo Encoder and Turbo Decoder on DSP Processor-TMS320C6713 International Journal of Engineering Research and Development e-issn : 2278-067X, p-issn : 2278-800X,.ijerd.com Volume 2, Issue 5 (July 2012), PP. 37-41 Implementation of a Turbo Encoder and Turbo Decoder

More information

422 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 2, FEBRUARY 2010

422 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 2, FEBRUARY 2010 422 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 2, FEBRUARY 2010 Turbo Decoder Using Contention-Free Interleaver and Parallel Architecture Cheng-Chi Wong, Ming-Wei Lai, Chien-Ching Lin, Hsie-Chia

More information

VLSI Implementation of Fully Parallel LTE Turbo Decoders

VLSI Implementation of Fully Parallel LTE Turbo Decoders Received December 3, 2015, accepted January 5, 2016, date of publication January 11, 2016, date of current version March 3, 2016. Digital Object Identifier 10.1109/ACCESS.2016.2515719 VLSI Implementation

More information

Piecewise Linear Approximation Based on Taylor Series of LDPC Codes Decoding Algorithm and Implemented in FPGA

Piecewise Linear Approximation Based on Taylor Series of LDPC Codes Decoding Algorithm and Implemented in FPGA Journal of Information Hiding and Multimedia Signal Processing c 2018 ISSN 2073-4212 Ubiquitous International Volume 9, Number 3, May 2018 Piecewise Linear Approximation Based on Taylor Series of LDPC

More information

A Novel Area Efficient Folded Modified Convolutional Interleaving Architecture for MAP Decoder

A Novel Area Efficient Folded Modified Convolutional Interleaving Architecture for MAP Decoder A Novel Area Efficient Folded Modified Convolutional Interleaving Architecture for Decoder S.Shiyamala Department of ECE SSCET Palani, India. Dr.V.Rajamani Principal IGCET Trichy,India ABSTRACT This paper

More information

Stopping-free dynamic configuration of a multi-asip turbo decoder

Stopping-free dynamic configuration of a multi-asip turbo decoder 2013 16th Euromicro Conference on Digital System Design Stopping-free dynamic configuration of a multi-asip turbo decoder Vianney Lapotre, Purushotham Murugappa, Guy Gogniat, Amer Baghdadi, Michael Hübner

More information

A Superscalar RISC Processor with 160 FPRs for Large Scale Scientific Processing

A Superscalar RISC Processor with 160 FPRs for Large Scale Scientific Processing A Superscalar RISC Processor with 160 FPRs for Large Scale Scientific Processing Kentaro Shimada *1, Tatsuya Kawashimo *1, Makoto Hanawa *1, Ryo Yamagata *2, and Eiki Kamada *2 *1 Central Research Laboratory,

More information

PIPELINE AND VECTOR PROCESSING

PIPELINE AND VECTOR PROCESSING PIPELINE AND VECTOR PROCESSING PIPELINING: Pipelining is a technique of decomposing a sequential process into sub operations, with each sub process being executed in a special dedicated segment that operates

More information

Energy Efficiency Maximization for Wireless Sensor Networks

Energy Efficiency Maximization for Wireless Sensor Networks Energy Efficiency Maximization for Wireless Sensor Networks Inwhee Joe College of Information and Communications Hanyang University Seoul, Korea iwjoe@hanyang.ac.kr Abstract. Because of the remote nature

More information

Area-Efficient High-Throughput MAP Decoder Architectures

Area-Efficient High-Throughput MAP Decoder Architectures IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 8, AUGUST 2005 921 Area-Efficient High-Throughput MAP Decoder Architectures Seok-Jun Lee, Member, IEEE, Naresh R. Shanbhag,

More information

PROPOSED DETERMINISTIC INTERLEAVERS FOR CCSDS TURBO CODE STANDARD

PROPOSED DETERMINISTIC INTERLEAVERS FOR CCSDS TURBO CODE STANDARD PROPOSED DETERMINISTIC INTERLEAVERS FOR CCSDS TURBO CODE STANDARD 1 ALAA ELDIN.HASSAN, 2 MONA SHOKAIR, 2 ATEF ABOU ELAZM, 3 D.TRUHACHEV, 3 C.SCHLEGEL 1 Research Assistant: Dept. of Space Science, National

More information

Iterative Decoding of Concatenated Convolutional Codes: Implementation Issues

Iterative Decoding of Concatenated Convolutional Codes: Implementation Issues E. BOUTILLON, C. DOUILLARD, G. MONTORSI 1 Iterative Decoding of Concatenated Convolutional Codes: Implementation Issues Emmanuel Boutillon, Catherine Douillard, and Guido Montorsi Abstract This tutorial

More information

VLSI Architectures for SISO-APP Decoders

VLSI Architectures for SISO-APP Decoders IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 4, AUGUST 2003 627 VLSI Architectures for SISO-APP Decoders Mohammad M. Mansour, Student Member, IEEE, and Naresh R. Shanbhag,

More information

Research Article A Programmable Max-Log-MAP Turbo Decoder Implementation

Research Article A Programmable Max-Log-MAP Turbo Decoder Implementation VLSI Design Volume 28, Article ID 3995, 7 pages doi:.55/28/3995 Research Article A Programmable Max-Log-MAP Turbo Decoder Implementation Perttu Salmela, Harri Sorokin, and Jarmo Takala Department of Computer

More information

Design & Analysis of 16 bit RISC Processor Using low Power Pipelining

Design & Analysis of 16 bit RISC Processor Using low Power Pipelining International OPEN ACCESS Journal ISSN: 2249-6645 Of Modern Engineering Research (IJMER) Design & Analysis of 16 bit RISC Processor Using low Power Pipelining Yedla Venkanna 148R1D5710 Branch: VLSI ABSTRACT:-

More information

Design of a Low Density Parity Check Iterative Decoder

Design of a Low Density Parity Check Iterative Decoder 1 Design of a Low Density Parity Check Iterative Decoder Jean Nguyen, Computer Engineer, University of Wisconsin Madison Dr. Borivoje Nikolic, Faculty Advisor, Electrical Engineer, University of California,

More information

Mapping the SISO module of the Turbo decoder to a FPFA

Mapping the SISO module of the Turbo decoder to a FPFA Mapping the SISO module of the Turbo decoder to a FPF Gerard J.M. Smit, Paul M. Heysters, Paul J.M. Havinga, odewijk T. Smit, John Dilessen, Jos Huisken 1 niversity of Twente, dept. of Computer Science,

More information

Combined Copyright Protection and Error Detection Scheme for H.264/AVC

Combined Copyright Protection and Error Detection Scheme for H.264/AVC Combined Copyright Protection and Error Detection Scheme for H.264/AVC XIAOMING CHEN, YUK YING CHUNG, FANGFEI XU, AHMED FAWZI OTOOM, *CHANGSEOK BAE School of Information Technologies, The University of

More information

Comparison of Various Concatenated Convolutional Code Ensembles under Spatial Coupling

Comparison of Various Concatenated Convolutional Code Ensembles under Spatial Coupling Comparison of Various Concatenated Convolutional Code Ensembles under Spatial Coupling GABRIEL IRO RAJESHWARI KABBINALE MASTER S THESIS DEPARTMENT OF ELECTRICAL AND INFORMATION TECHNOLOGY FACULTY OF ENGINEERING

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 4,100 116,000 120M Open access books available International authors and editors Downloads Our

More information

Super Codes: A Flexible Multi Rate Coding System

Super Codes: A Flexible Multi Rate Coding System Super Codes: A Flexible Multi Rate Coding System Steven S. Pietrobon Small World Communications, 6 First Avenue, Payneham South SA 57, Australia. E mail: steven@sworld.com.au Abstract: We define super

More information

Iterative Decoder Architectures

Iterative Decoder Architectures CAPACITY APPROACHING CODES, ITERATIVE DECODING ALGORITHMS, AND THEIR APPLICATIONS Iterative Decoder Architectures Engling Yeo, Borivoje Nikolić, and Venkat Anantharam, University of California, Berkeley

More information

The Lekha 3GPP LTE FEC IP Core meets 3GPP LTE specification 3GPP TS V Release 10[1].

The Lekha 3GPP LTE FEC IP Core meets 3GPP LTE specification 3GPP TS V Release 10[1]. Lekha IP 3GPP LTE FEC Encoder IP Core V1.0 The Lekha 3GPP LTE FEC IP Core meets 3GPP LTE specification 3GPP TS 36.212 V 10.5.0 Release 10[1]. 1.0 Introduction The Lekha IP 3GPP LTE FEC Encoder IP Core

More information

Performance Optimization and Parallelization of Turbo Decoding for Software-Defined Radio

Performance Optimization and Parallelization of Turbo Decoding for Software-Defined Radio Performance Optimization and Parallelization of Turbo Decoding for Software-Defined Radio by Jonathan Leonard Roth A thesis submitted to the Department of Electrical and Computer Engineering in conformity

More information

Analysis of Circular Buffer Rate Matching for LTE Turbo Code

Analysis of Circular Buffer Rate Matching for LTE Turbo Code Analysis of Circular Buffer Rate Matching for LTE Turbo Code Jung-Fu (Thomas) Cheng *, Ajit Nimbalker +, Yufei Blankenship +, Brian Classon +, and T. Keith Blankenship + * Ericsson Research, RTP, NC, USA

More information

Computer organization by G. Naveen kumar, Asst Prof, C.S.E Department 1

Computer organization by G. Naveen kumar, Asst Prof, C.S.E Department 1 Pipelining and Vector Processing Parallel Processing: The term parallel processing indicates that the system is able to perform several operations in a single time. Now we will elaborate the scenario,

More information

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, 2015 http://dx.doi.org/10.5573/jsts.2015.15.1.077 Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network

More information

Optimized ARM-Based Implementation of Low Density Parity Check Code (LDPC) Decoder in China Digital Radio (CDR)

Optimized ARM-Based Implementation of Low Density Parity Check Code (LDPC) Decoder in China Digital Radio (CDR) Optimized ARM-Based Implementation of Low Density Parity Check Code (LDPC) Decoder in China Digital Radio (CDR) P. Vincy Priscilla 1, R. Padmavathi 2, S. Tamilselvan 3, Dr.S. Kanthamani 4 1,4 Department

More information

The design and implementation of TPC encoder and decoder

The design and implementation of TPC encoder and decoder Journal of Physics: Conference Series PAPER OPEN ACCESS The design and implementation of TPC encoder and decoder To cite this article: L J Xiang et al 016 J. Phys.: Conf. Ser. 679 0103 Related content

More information

Lowering the Error Floors of Irregular High-Rate LDPC Codes by Graph Conditioning

Lowering the Error Floors of Irregular High-Rate LDPC Codes by Graph Conditioning Lowering the Error Floors of Irregular High- LDPC Codes by Graph Conditioning Wen-Yen Weng, Aditya Ramamoorthy and Richard D. Wesel Electrical Engineering Department, UCLA, Los Angeles, CA, 90095-594.

More information

Optimal M-BCJR Turbo Decoding: The Z-MAP Algorithm

Optimal M-BCJR Turbo Decoding: The Z-MAP Algorithm Wireless Engineering and Technology, 2011, 2, 230-234 doi:10.4236/wet.2011.24031 Published Online October 2011 (http://www.scirp.org/journal/wet) Optimal M-BCJR Turbo Decoding: The Z-MAP Algorithm Aissa

More information

LOW-DENSITY PARITY-CHECK (LDPC) codes [1] can

LOW-DENSITY PARITY-CHECK (LDPC) codes [1] can 208 IEEE TRANSACTIONS ON MAGNETICS, VOL 42, NO 2, FEBRUARY 2006 Structured LDPC Codes for High-Density Recording: Large Girth and Low Error Floor J Lu and J M F Moura Department of Electrical and Computer

More information

A Reconfigurable Multifunction Computing Cache Architecture

A Reconfigurable Multifunction Computing Cache Architecture IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 4, AUGUST 2001 509 A Reconfigurable Multifunction Computing Cache Architecture Huesung Kim, Student Member, IEEE, Arun K. Somani,

More information

Weight distribution of turbo codes with convolutional interleavers

Weight distribution of turbo codes with convolutional interleavers University of Wollongong Research Online Faculty of Engineering - Papers (Archive) Faculty of Engineering and Information Sciences 27 distribution of turbo codes with convolutional interleavers S. Vafi

More information

RECENTLY, researches on gigabit wireless personal area

RECENTLY, researches on gigabit wireless personal area 146 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 An Indexed-Scaling Pipelined FFT Processor for OFDM-Based WPAN Applications Yuan Chen, Student Member, IEEE,

More information

Memory Systems IRAM. Principle of IRAM

Memory Systems IRAM. Principle of IRAM Memory Systems 165 other devices of the module will be in the Standby state (which is the primary state of all RDRAM devices) or another state with low-power consumption. The RDRAM devices provide several

More information

On the Error Tolerance of Iterative Decoder Circuitry

On the Error Tolerance of Iterative Decoder Circuitry On the Error Tolerance of Iterative Decoder Circuitry (Invited Paper) On Wa Yeung, Keith M. Chugg Department of Electrical Engineering Viterbi School of Engineering Communication Science Institute University

More information

Improved Soft-Decision Decoding of RSCC Codes

Improved Soft-Decision Decoding of RSCC Codes 2013 IEEE Wireless Communications and Networking Conference (WCNC): PHY Improved Soft-Decision Decoding of RSCC Codes Li Chen School of Information Science and Technology, Sun Yat-sen University Guangzhou,

More information

Memory System Design. Outline

Memory System Design. Outline Memory System Design Chapter 16 S. Dandamudi Outline Introduction A simple memory block Memory design with D flip flops Problems with the design Techniques to connect to a bus Using multiplexers Using

More information

Vector Architectures Vs. Superscalar and VLIW for Embedded Media Benchmarks

Vector Architectures Vs. Superscalar and VLIW for Embedded Media Benchmarks Vector Architectures Vs. Superscalar and VLIW for Embedded Media Benchmarks Christos Kozyrakis Stanford University David Patterson U.C. Berkeley http://csl.stanford.edu/~christos Motivation Ideal processor

More information

< Irregular Repeat-Accumulate LDPC Code Proposal Technology Overview

<  Irregular Repeat-Accumulate LDPC Code Proposal Technology Overview Project IEEE 802.20 Working Group on Mobile Broadband Wireless Access Title Irregular Repeat-Accumulate LDPC Code Proposal Technology Overview Date Submitted Source(s):

More information

On the performance of turbo codes with convolutional interleavers

On the performance of turbo codes with convolutional interleavers University of Wollongong Research Online Faculty of Informatics - Papers (Archive) Faculty of Engineering and Information Sciences 5 On the performance of turbo codes with convolutional interleavers Sina

More information

LLR-based Successive-Cancellation List Decoder for Polar Codes with Multi-bit Decision

LLR-based Successive-Cancellation List Decoder for Polar Codes with Multi-bit Decision > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLIC HERE TO EDIT < LLR-based Successive-Cancellation List Decoder for Polar Codes with Multi-bit Decision Bo Yuan and eshab. Parhi, Fellow,

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

Design of a Unified Transport Triggered Processor for LDPC/Turbo Decoder

Design of a Unified Transport Triggered Processor for LDPC/Turbo Decoder Design of a Unified Transport Triggered Processor for LDPC/Turbo Decoder Shahriar Shahabuddin, Janne Janhunen, Muhammet Fatih Bayramoglu, Markku Juntti, Amanullah Ghazi, and Olli Silvén Department of Communications

More information

Energy-efficient Reconfigurable FEC Processor for Multi-standard Wireless Communication Systems

Energy-efficient Reconfigurable FEC Processor for Multi-standard Wireless Communication Systems JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.333 ISSN(Online) 2233-4866 Energy-efficient Reconfigurable FEC Processor

More information

Simplified Criteria for Early Iterative Decoding Termination

Simplified Criteria for Early Iterative Decoding Termination Simplified Criteria for Early Iterative Decoding Termination Spyros Gidaros and Vassilis Paliouras Electrical and Computer Engineering Department University of Patras, PATRAS, Greece phone: + (30) 6 996

More information

Baseline V IRAM Trimedia. Cycles ( x 1000 ) N

Baseline V IRAM Trimedia. Cycles ( x 1000 ) N CS 252 COMPUTER ARCHITECTURE MAY 2000 An Investigation of the QR Decomposition Algorithm on Parallel Architectures Vito Dai and Brian Limketkai Abstract This paper presents an implementation of a QR decomposition

More information

All MSEE students are required to take the following two core courses: Linear systems Probability and Random Processes

All MSEE students are required to take the following two core courses: Linear systems Probability and Random Processes MSEE Curriculum All MSEE students are required to take the following two core courses: 3531-571 Linear systems 3531-507 Probability and Random Processes The course requirements for students majoring in

More information

IEEE 802.3ap Codes Comparison for 10G Backplane System

IEEE 802.3ap Codes Comparison for 10G Backplane System IEEE 802.3ap Codes Comparison for 10G Backplane System March, 2005 Boris Fakterman, Intel boris.fakterman@intel.com Presentation goal The goal of this presentation is to compare Forward Error Correction

More information

lambda-min Decoding Algorithm of Regular and Irregular LDPC Codes

lambda-min Decoding Algorithm of Regular and Irregular LDPC Codes lambda-min Decoding Algorithm of Regular and Irregular LDPC Codes Emmanuel Boutillon, Frédéric Guillou, Jean-Luc Danger To cite this version: Emmanuel Boutillon, Frédéric Guillou, Jean-Luc Danger lambda-min

More information

6.962 Graduate Seminar in Communications Turbo-Like Codes: Structure, Design Criteria, and Variations

6.962 Graduate Seminar in Communications Turbo-Like Codes: Structure, Design Criteria, and Variations 6.962 Graduate Seminar in Communications Turbo-Like Codes: Structure, Design Criteria, and Variations Presenter: J. Nicholas Laneman October 18, 2000 1 Summary Broadening our treatment of capacity-approaching

More information