Sign here to give permission for your test to be returned in class, where others might see your score:

Similar documents
Sign here to give permission for your test to be returned in class, where others might see your score:

COVER SHEET: Total: Regrade Info: 5 (5 points) 2 (8 points) 6 (10 points) 7b (13 points) 7c (13 points) 7d (13 points)

COVER SHEET: Total: Regrade Info: 5 (14 points) 7 (15 points) Midterm 1 Spring 2012 VERSION 1 UFID:

Sign here to give permission for your test to be returned in class, where others might see your score:

COVER SHEET: Total: Regrade Info: 7 (6 points) 2 (10 points) 9 (5 points) 8 (12 points) 12 (5 points) 11 (25 points)

COVER SHEET: Total: Regrade Info: 2 (6 points) 3 (8 points) 4 (10 points) 8 (12 points) 6 (6 points) 7 (6 points) 9 (30 points) 10 (4 points)

COVER SHEET: Total: Regrade Info: Problem#: Points. 7 (14 points) 6 (7 points) 9 (6 points) 10 (21 points) 11 (4 points)

COVER SHEET: Total: Regrade Info: 7 (6 points) 2 (14 points) 4 (12 points) 8 (20 points) 9 (24 points) 10 (5 extra credit points)

Sign here to give permission for your test to be returned in class, where others might see your score:

CSE 260 Introduction to Digital Logic and Computer Design. Exam 1. Your name 2/13/2014

COVER SHEET: Total: Regrade Info: 1 (8 points) 2 ( 8 points) 3 (16 points) 4 (16 points) 5 (16 points) 6 (16 points) 7 (16 points) 8 (8 points)

Sign here to give permission to return your test in class, where other students might see your score:

DIGITAL LOGIC DESIGN VHDL Coding for FPGAs Unit 6

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

COVER SHEET: Total: Regrade Info: 7 (5 points) 8 (6 points) 4 (10 points) 5 (12 points) 9 (15 points) 10 (27 points) 11 (4 free points)

EEL 4712 Name: SOLUTION Midterm 1 Spring 2016 VERSION 1 UFID:

FSM Components. FSM Description. HDL Coding Methods. Chapter 7: HDL Coding Techniques

The University of Alabama in Huntsville Electrical and Computer Engineering CPE/EE 422/522 Spring 2005 Homework #6 Solution

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 6

COVER SHEET: Problem#: Points

Sequential Logic - Module 5

Timing in synchronous systems

Summary of FPGA & VHDL

CSE 260 Introduction to Digital Logic and Computer Design. Exam 1 Solutions

Advanced Digital Design Spring 2011 Final Examination Time Limit: 2 Hours

Problem Set 10 Solutions

ECE 545 Lecture 12. Datapath vs. Controller. Structure of a Typical Digital System Data Inputs. Required reading. Design of Controllers

Field Programmable Gate Array

Control and Datapath 8

CS303 LOGIC DESIGN FINAL EXAM

Lecture 08 Finite State Machine Design Using VHDL

EEL 4712 Digital Design Test 2 Spring Semester 2008

The University of Alabama in Huntsville ECE Department CPE Midterm Exam Solution Spring 2016

Lecture 12 VHDL Synthesis

Luleå University of Technology Kurskod SMD152 Datum Skrivtid

Sequential Statement

3 Designing Digital Systems with Algorithmic State Machine Charts

Schedule. ECE U530 Digital Hardware Synthesis. Rest of Semester. Midterm Question 1a

CprE 583 Reconfigurable Computing

The University of Alabama in Huntsville ECE Department CPE Final Exam Solution Spring 2004

ECE 551: Digital System *

Control Unit: Binary Multiplier. Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN

VHDL Examples Mohamed Zaky

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences. Spring 2010 May 10, 2010

ECE 545 Lecture 11 Addendum

VHDL Modeling Behavior from Synthesis Perspective -Part B - EL 310 Erkay Savaş Sabancı University

VHDL: Modeling RAM and Register Files. Textbook Chapters: 6.6.1, 8.7, 8.8, 9.5.2, 11.2

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

CprE 583 Reconfigurable Computing

EEL 4712 Digital Design Test 1 Spring Semester 2007

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

CSC / EE Digital Systems Design. Summer Sample Project Proposal 01

ECE 545 Lecture 12. FPGA Resources. George Mason University

8-1. Fig. 8-1 ASM Chart Elements 2001 Prentice Hall, Inc. M. Morris Mano & Charles R. Kime LOGIC AND COMPUTER DESIGN FUNDAMENTALS, 2e, Updated.

ECEU530. Homework 4 due Wednesday Oct 25. ECE U530 Digital Hardware Synthesis. VHDL for Synthesis with Xilinx. Schedule

Lab 3. Advanced VHDL

problem maximum score 1 8pts 2 6pts 3 10pts 4 15pts 5 12pts 6 10pts 7 24pts 8 16pts 9 19pts Total 120pts

EECS 151/251A: SRPING 2017 MIDTERM 1

ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices

VHDL And Synthesis Review

Midterm Exam Thursday, October 24, :00--2:15PM (75 minutes)

CCE 3202 Advanced Digital System Design

Finite State Machines

DESCRIPTION OF DIGITAL CIRCUITS USING VHDL

The University of Alabama in Huntsville ECE Department CPE Midterm Exam Solution March 2, 2006

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited

NIOS Character. Last updated 7/16/18

Topics. Midterm Finish Chapter 7

Problem Set 5 Solutions

ECEU530. Schedule. ECE U530 Digital Hardware Synthesis. Datapath for the Calculator (HW 5) HW 5 Datapath Entity

Introduction to VHDL Design on Quartus II and DE2 Board

Counters. Counter Types. Variations. Modulo Gray Code BCD (Decimal) Decade Ring Johnson (twisted ring) LFSR

Example 58: Traffic Lights

Sign here to give permission for your test to be returned in class, where others might see your score:

ECE Digital Design Laboratory. Lecture 3 Finite State Machines!

Example 15: Moving Sprites with Flash Background

ECE 551 Digital System Design and Synthesis. Instructor: Kewal K. Saluja. Midterm Exam

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function.

VHDL simulation and synthesis

IT T35 Digital system desigm y - ii /s - iii

Introduction to Design Vision. Instructor: Prof. Shantanu Dutt. TA: Soumya Banerjee

COE Design Process Tutorial

Luleå University of Technology Kurskod SMD098 Datum Skrivtid

EITF35: Introduction to Structured VLSI Design

ECE 2300 Digital Logic & Computer Organization. More Finite State Machines

Concurrent & Sequential Stmts. (Review)

Inferring Storage Elements

EITF35: Introduction to Structured VLSI Design

VHDL in 1h. Martin Schöberl

Programable Logic Devices

Synthesis from VHDL. Krzysztof Kuchcinski Department of Computer Science Lund Institute of Technology Sweden

EEE8076. Reconfigurable Hardware Design (coursework) Module Outline. Dr A. Bystrov Dr. E.G. Chester. Autumn

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

2015 Paper E2.1: Digital Electronics II

Lecture 4: Modeling in VHDL (Continued ) EE 3610 Digital Systems

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013

EL 310 Hardware Description Languages Midterm

VHDL for Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

Transcription:

EEL 4712 Midterm 2 Spring 216 VERSION 1 Name: UFID: Sign here to give permission for your test to be returned in class, where others might see your score: IMPORTANT: Please be neat and write (or draw) carefully. If we cannot read it with a reasonable effort, it is assumed wrong. As always, the best answer gets the most points. COVER SHEET: Problem#: Points 1 (15 points) 2 (7 points) 3 (7 points) 4 (8 points) 5 (2 points) 6 (2 points) 7 (2 points) Free 3 Total: Regrade Info:

1. (15 points) Assume you are given an FPGA that consists of the following CLB structures with one 4- input, 2-output LUT and optional flip flops on each output. Map the following circuit onto these CLBs by drawing boxes to represent CLBs. Assume that the rectangle components are flip flops and that everything else is combinational logic. Use the minimum number of CLBs for full credit.

2. (7 points) List the different interconnect resources that an FPGA uses to route between two components in an FPGA. 3. (7 points) The Cyclone III EP3C16 has 56 M9k block RAMs, which provide 8192 bits to be used for memory. Assuming an image consisting of 24-bit pixels, calculate the maximum number of pixels that you could store in the FPGA. 4. a. (4 points) You are given a 75 MHz clock and must divide it to create a 23 khz clock. How many 75 MHz cycles does the divider have to wait for a full period of the 23 khz clock? i.e. The divider can produce a frequency that is slight slower than 23 khz, but no faster. b. (4 points) Calculate the actual frequency of the divided clock. Show at least two fractional digits.

5. (2 points) Fill in the code to implement the following Moore finite state machine (FSM) using the 2-process FSM model. Assume that INIT is the initial state. Assume that d takes priority over n. Assume there are implicit edges back to the current state for any condition not explicitly shown. Transitions without conditions are always taken. Use the next page if extra room is needed. d = 1 INIT a = valid = FIVE n = 1 a = 11 n = 1 valid = TEN a = 11 valid = library ieee; use ieee.std_logic_1164.all; entity fsm is port ( clk, rst ); end fsm; : in std_logic; n, d : in std_logic; a : out std_logic_vector(3 downto ); valid : out std_logic; architecture PROC2 of fsm is type STATE_TYPE is ( begin ); signal state, next_state : STATE_TYPE; process(clk, rst) begin end process; process( ) begin

end process; end PROC2;

6. (2 points) Create an FSMD that implements the following pseudo-code. Do not write VHDL and instead leave the FSMD in graphical form (i.e., state machine with corresponding operations in each state). Make sure to specify all operations and state transitions. Note that result, go, input, and done are I/O. For the array a[i], assume that your circuit has a ROM that stores the entire array, and that all values are already stored in the ROM. Assume the ROM has a one-cycle read latency. Show the read operation in your FSMD as load a[i]. Make sure to not use data from the ROM until one cycle after this load. const int N = 32; // In VHDL: generic( N : positive ) Inputs: go (std_logic), input (std_logic_vector) Outputs: result (std_logic_vector), done (std_logic) int i, result, input_reg; int a[n]; // Stored as a ROM in the circuit, assume already filled with appropriate data // reset values for outputs done = ; result = ; while (1) { while (go == ); done = ; // Store input into register input_reg = input; result = ; for (i=; i < N; i++) { result = result + a[i] * input_reg; } } done = 1; while (go == 1);

7. (2 points) Draw an FSM capable of controlling the illustrated datapath to perform the pseudo-code in question 6, by assigning or reading from the underlined control signals. Assume that go is an input to the controller and that left mux inputs have a select value of 1. Also assume that ROM contents store the a[] array and that these values have already been stored. Note that this datapath assumes that N=32. Do not write any VHDL code, just show the FSM and control signals. Be sure to mention default signal values to save space. input 1 ROM addr (i) i_sel done_sel i i_en done_en done input_reg_en input_reg * data (a[i]) 1 + < i_lt_32 32 done + result_sel result_en result

Problem 6 Reference const int N = 32; // In VHDL: generic( N : positive ) Inputs: go (std_logic), input (std_logic_vector) Outputs: result (std_logic_vector), done (std_logic) int i, result, input_reg; int a[n]; // Stored as a ROM in the circuit, assume already filled with appropriate data // reset values for outputs done = ; result = ; while (1) { while (go == ); done = ; // Store input into register input_reg = input; result = ; for (i=; i < N; i++) { result = result + a[i] * input_reg; } } done = 1; while (go == 1); input 1 ROM addr (i) i_sel done_sel i i_en done_en done input_reg_en input_reg * data (a[i]) 1 + < i_lt_32 32 done + result_sel result_en result