EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013

Similar documents
Digital Integrated Circuits

ECE 2300 Digital Logic & Computer Organization. More Finite State Machines

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

EE 231 Fall EE 231 Homework 8 Due October 20, 2010

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

CS 151 Final. Q1 Q2 Q3 Q4 Q5 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature

Finite-State Machine (FSM) Design

Two hours - online EXAM PAPER MUST NOT BE REMOVED FROM THE EXAM ROOM UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

EE178 Lecture Verilog FSM Examples. Eric Crabill SJSU / Xilinx Fall 2007

Nikhil Gupta. FPGA Challenge Takneek 2012

Digital Integrated Circuits

ECE 551: Digital System *

The Verilog Language COMS W Prof. Stephen A. Edwards Fall 2002 Columbia University Department of Computer Science

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

Last Lecture. Talked about combinational logic always statements. e.g., module ex2(input logic a, b, c, output logic f); logic t; // internal signal

ECE 551 Digital System Design and Synthesis. Instructor: Kewal K. Saluja. Midterm Exam

Quick Introduction to SystemVerilog: Sequental Logic

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

Federal Urdu University of Arts, Science and Technology, Islamabad VLSI SYSTEM DESIGN. Prepared By: Engr. Yousaf Hameed.

Modeling Sequential Circuits in Verilog

CS 151 Final. (Last Name) (First Name)

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function.

Sequential Logic Design

ARM 64-bit Register File

Amrita Vishwa Vidyapeetham. EC429 VLSI System Design Answer Key

Digital Circuit Design and Language. Datapath Design. Chang, Ik Joon Kyunghee University

problem maximum score 1 10pts 2 8pts 3 10pts 4 12pts 5 7pts 6 7pts 7 7pts 8 17pts 9 22pts total 100pts

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

University of Toronto Mississauga. Flip to the back cover and write down your name and student number.

Design of Digital Circuits ( L) ETH Zürich, Spring 2017

Verilog for Synthesis Ing. Pullini Antonio

EECS 270 Midterm Exam

Hardware Description Languages (HDLs) Verilog

UNIVERSITY OF TORONTO FACULTY OF APPLIED SCIENCE AN:p ENGINEERING. ECE241F - Digital Syst~ms Final Examination

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

Graduate Institute of Electronics Engineering, NTU Design of Datapath Controllers

Philadelphia University Student Name: Student Number:

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

(ii) Simplify and implement the following SOP function using NOR gates:

DIGITAL SYSTEM DESIGN

EECS 151/251A: SRPING 2017 MIDTERM 1

Laboratory Exercise 7

Digital Design with FPGAs. By Neeraj Kulkarni

Note: Closed book no notes or other material allowed, no calculators or other electronic devices.

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

C A R L E T O N U N I V E R S I T Y. FINAL EXAMINATION April Duration: 3 Hours No. of Students: 108

Midterm Exam Thursday, October 24, :00--2:15PM (75 minutes)

Sequential Logic Implementation. Mealy vs. Moore Machines. Specifying Outputs for a Mealy Machine. Specifying Outputs for a Moore Machine

Lecture #2: Verilog HDL

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences. Spring 2010 May 10, 2010

Format. 10 multiple choice 8 points each. 1 short answer 20 points. Same basic principals as the midterm

CS303 LOGIC DESIGN FINAL EXAM

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits

FPGA: FIELD PROGRAMMABLE GATE ARRAY Verilog: a hardware description language. Reference: [1]

Final Exam Solution Sunday, December 15, 10:05-12:05 PM

CS429: Computer Organization and Architecture

CSE140L: Components and Design Techniques for Digital Systems Lab

Laboratory Exercise 3 Davide Rossi DEI University of Bologna AA

One and a half hours. Section A is COMPULSORY

R07. Code No: V0423. II B. Tech II Semester, Supplementary Examinations, April

CSE140L: Components and Design

PART B. 3. Minimize the following function using K-map and also verify through tabulation method. F (A, B, C, D) = +d (0, 3, 6, 10).

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

EECS Components and Design Techniques for Digital Systems. Lec 07 PLAs and FSMs 9/ Big Idea: boolean functions <> gates.

Chapter 5 Registers & Counters

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign

ECEN 468 Advanced Logic Design

ENGR 3410: Lab #1 MIPS 32-bit Register File

R07

11/22/1999 7pm - 9pm. Name: Login Name: Preceptor Name: Precept Number:

CS/ECE 252: INTRODUCTION TO COMPUTER ENGINEERING UNIVERSITY OF WISCONSIN MADISON

Register Transfer Level in Verilog: Part I

CS 151 Midterm. Instructions: Student ID. (Last Name) (First Name) Signature

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008

ENGR 100 Midterm (CSE Part) Winter 2014

FPGA RAM (C1) Young Won Lim 5/13/16

Control in Digital Systems

Homework deadline extended to next friday

Synthesizable Verilog

General FSM design procedure

General FSM design procedure

One and a half hours. Section A is COMPULSORY UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE

Digital Integrated Circuits

Laboratory Exercise 3

EECS150 - Digital Design Lecture 10 Logic Synthesis

Code No: 07A3EC03 Set No. 1

Code No: R Set No. 1

Code No: R Set No. 1

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

Last Lecture: Divide by 3 FSM

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

EEL 4783: HDL in Digital System Design

Topics. Midterm Finish Chapter 7

Verilog Tutorial (Structure, Test)

Transcription:

EPC6055 Digital Integrated Circuits EXAM 1 Fall Semester 2013 Print Here Student ID Signature This is a closed book exam. The exam is to be completed in one-hundred ten (110) minutes. Don t use scratch paper; show all of your work on these pages. Please print your name on the top of each page. (8 pages including this one) Please check here to indicate that you have received all parts of the exam. Problem 1 Problem 2 Problem 3 Problem 4 Problem 5 Problem 6 Problem 7 (20 points) (30 points) ( 6 points) (10 points) (24 points) (10 points) (10 points) Total for Exam (110 points)

Problem 1 (20 points) Logic Representation/Combinational Logic A B out C F A. Represent the logic of the given circuit in the truth table B. Represent the logic of the given circuit in Boolean expression. C. The following Verilog code describes the structure of the given circuit. Fill out the blanks. wire out; a (,, ); b (,, ); D. The following Verilog code describes the behavior of the given circuit using assign statement. Fill out the blanks. wire F; assign ; E. The following Verilog code describes the behavior of the given circuit using always block. Fill out the blanks. F; always@( ) begin end Incheon National University Page 2 / 8

Problem 2 (30 points) Sequential Logic A. Circle all of the answers that are correct (may be more than one answer). Which of the following are properties of sequential logic? (i) The outputs depends on the present inputs only. (ii) The outputs depends on the present inputs and the past sequence of inputs. (iii) It contains memory. (iv) It can contain combinational logic. (v) It consists of AND,OR, XOR gates only. A B D LOAD Q F clk This figure depicts a D flip-flop with synchronous load. Data on the D input is loaded only if LOAD is 1. B. The following Verilog code describes the behavior of the above circuit using always block. Fill out the blanks. Assume that F is declared properly. always@( ) begin end C. Draw a circuit functionally equivalent to the above flip-flop (in other words, a circuit that has the same behavior of the above flip-flop) using a multiplexer and a regular D flip-flop? D. In Verilog, describe the behavior of the circuit you have drawn above using always block. Assume that F is declared properly. Incheon National University Page 3 / 8

Problem 3 (6 points) Verilog/Instantiation A part of the definition of dff module is shown below: module dff(din, clk, q); The following shows an instance of dff module: dff f0(d, clock, out); Another way to write this instantiation in Verilog is as follows. Fill out the blanks. dff f0(. ( ),. ( ),. ( )); Incheon National University Page 4 / 8

Problem 4 (10 Points) Verilog/Non-blocking and blocking assignments The following verilog code shows the definition of TEST module. module TEST; reg [3:0] A,B,C,D; initial begin A = 4 b1111; B = 4 b1011; A = B; B = A; C = 4 b1111; D = 4 b1011; C <= D; D <= C; end endmodule A. What are the values of A and B after the simulation of TEST module is done? A:, B: B. What are the values of C and D after the simulation of TEST module is done? C:, D: Incheon National University Page 5 / 8

Problem 5 (24 Points) FSM A finite state machine for the BCD to excess-3 code converter is shown below. The FSM has one input (X) and one output (Z). A. Represent the number 2 in the excess-3 code. B. What is the minimum number of flip-flops to implement the above FSM? C. Assume the FSM starts in state S0. Given the following sequence of the input values, what is the resulting sequence of the output values? X: 0 1 0 Z: D. What state is the FSM in at the end of the above sequence? Incheon National University Page 6 / 8

Problem 6 (10 Points) FSM Design You are supposed to design a sequence detector. The circuit examines string of 0 s and 1 s applied to input X, and generate output Z=1 only when input bit sequence is 1011. Input X can change only between clock pulses and the output Z=1 coincident with last 1 in 1011. The circuit will keep checking for the proper bit sequence and does not reset to the initial state after it recognized the string. As an example, the input string X= 1011011... will cause the output to go high twice: Z= 0001001. Draw a Moore state diagram for the sequence detector. Incheon National University Page 7 / 8

Problem 7 (10 Points) Array The definition of module ram is shown below: module ram (q, a, d, we, clk); output [7:0] q; input [7:0] d; input [?:0] a; input clk, we; reg [7:0] mem [127:0]; always @(posedge clk) if(we) mem[a] <= d; assign q = mem[a]; endmodule A. What should the size (bit-width) of input a be to access all the entries in array mem? B. How many bits can be stored in array mem? Incheon National University Page 8 / 8