ISSN: [IDSTM-18] Impact Factor: 5.164

Similar documents
Design and Implementation of High-Performance Master/Slave Memory Controller with Microcontroller Bus Architecture

AMBA 3 AHB Lite Bus Architecture

Design & Implementation of AHB Interface for SOC Application

ISSN Vol.03, Issue.08, October-2015, Pages:

iimplementation of AMBA AHB protocol for high capacity memory management using VHDL

Ref: AMBA Specification Rev. 2.0

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

EECS 373 Design of Microprocessor-Based Systems

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction.

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp

Part A. Yunfei Gu Washington University in St. Louis

5. On-chip Bus

AHB-Lite Multilayer Interconnect IP. AHB-Lite Multilayer Interconnect IP User Guide Roa Logic, All rights reserved

Bus Interfaces and Standards. Zeljko Zilic

AHB Slave Decoder. User Guide. 12/2014 Capital Microelectronics, Inc. China

Design And Implementation of Efficient FSM For AHB Master And Arbiter

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus

Lecture 10 Introduction to AMBA AHB

Assertion Based Verification of AMBA-AHB Using System Verilog

EECS 373. Design of Microprocessor-Based Systems. Prabal Dutta University of Michigan. Announcements. Homework #2 Where was I last week?

Verilog AHB Testbench User's Guide

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE

Serial Peripheral Interface Design for Advanced Microcontroller Bus Architecture Based System-on- Chip

Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others!

1. INTRODUCTION OF AMBA

SoC Interconnect Bus Structures

Universität Dortmund. ARM Cortex-M3 Buses

CoreAHBtoAPB3 v3.1. Handbook

VeriFlow Technologies India (P) Ltd

White Paper AHB to Avalon & Avalon to AHB Bridges

Design of Microprocessor-Based Systems Part II

Improving Memory Access time by Building an AMBA AHB compliant Memory Controller

CoreHPDMACtrl v2.1. Handbook

Buses. Maurizio Palesi. Maurizio Palesi 1

CoreAHB. Contents. Product Summary. General Description. Intended Use. Key Features. Benefits. Supported Device Families

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China

Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture

August Issue Page 96 of 107 ISSN

BUILDING AN AMBA COMPLIANT MEMORY CONTROLLER

VLSI Design of Multichannel AMBA AHB

AMBA AHB Bus Protocol Checker

DesignCon AMBA Compliance Checking Using Static Functional Verification

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE

Design of AMBA Based AHB2APB Bridge

Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3,

System Design Kit. Cortex-M. Technical Reference Manual. Revision: r0p0. Copyright 2011 ARM. All rights reserved. ARM DDI 0479B (ID070811)

FSM & Handshaking Based AHB to APB Bridge for High Speed Systems

Interprocess Communication

Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA

Design and Verification of AMBA AHB- Lite protocol using Verilog HDL

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

Design of High Speed AMBA Advanced Peripheral Bus Master Data Transfer for Microcontroller

VLSI Systems Design. Connection and Communication Models

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010

An Efficient Multi Mode and Multi Resolution Based AHB Bus Tracer

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

IMPLEMENTATION OF AHB PROTOCOL USING FPGA

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

Chapter 2 The AMBA SOC Platform

Leon3 NoC System Generator

DESIGN AND IMPLEMENTATION OF THE ADVANCED MICROCONTROLLER BUS ARCHITECTUREAXI-APB BRIDGE ON FPGA

Generating TLM Bus Models from Formal Protocol Specification. Tom Michiels CoWare

An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip

System Verification of Hardware Optimization Based on Edge Detection

Digital Signal Processing for Analog Input

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

Cortex -M System Design Kit. Arm. Technical Reference Manual. Revision: r1p1

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

1 Contents. Version of EnSilica Ltd, All Rights Reserved

CoreConfigMaster v2.1. Handbook

Using formal techniques to Debug the AMBA System-on-Chip Bus Protocol

Design and Implementation of A Reconfigurable Arbiter

ISSN: [Mahajan* et al., 5(12): December, 2016] Impact Factor: 4.116

Architecture of An AHB Compliant SDRAM Memory Controller

Application Note. Implementing AHB Peripherals in Logic Tiles. Document number: ARM DAI 0119E Issued: January 2006 Copyright ARM Limited 2006

The Growing Designer Productivity Gap

CprE 488 Embedded Systems Design. Lecture 2 Embedded Platforms

Verification of AMBA AXI4 Protocol Using UVM

Assertions: Too good to be reserved for verification only.

of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture

Implementation of Reduced Power Open Core Protocol Compliant Memory System using VHDL

Design and Implementation of AXI to AHB Bridge Based on AMBA 4.0

SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs*

Transaction Level Modeling for Model Checking

Design and Implementation of AMBA AXI to AHB Bridge K. Lakshmi Shirisha 1 A.Ramkumar 2

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 ISSN

Digital Blocks Semiconductor IP

DESIGN AND IMPLEMENTATION OF I2C SINGLE MASTER ON FPGA USING VERILOG

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG

Effective Verification of ARM SoCs

Increasing IP Reuse Ability by Using OCP-AHB Wrapper for SOC Integration

FPGA for Software Engineers

BRIDGE PIF / WISHBONE

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Transcription:

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY AN AREA EFFICIENT AHB SLAVE DESIGNING USING VHDL Hitanshu Saluja 1, Dr. Naresh Grover 2 1 Research Scholar, ECE, ManavRachnaInternational Institute of Research and Studies 2 Prof.ECE, ManavRachnaInternational Institute of Research and Studies ABSTRACT Most of the FPGA features communicate with each other through bus means. Each block is designed for a specific bus. The buses related to this work is the AMBA bus and the Wishbone bus. It works with the master / slave architecture. The AMBA bus is extensively utilized in the system on chip solution for interaction with different peripheral. This work elaborate the AMBA bus interface bridge between memory controller and other supporting peripheral. The work claims the implantation of AHB bus slave. Despite the shortcomings of the work performed study and development that followed has led the development of a memory controller on AMBA- AHB bus at a very advanced stage and next to prototyping. VHDL code is utilized to develop the design and it is synthesized in Xilinx Spartan 3 device (3s100evq100-5). The design claims a minor area overhead with improvement in speed 171.416 MHz. Key words: AMBA, AHB,SoC, VHDL, Xilinx. I. INTRODUCTION The model of advanced high-performance bus (AHB) was built incrementally [1, 2]. Followingeach increment, we proceeded to the verification of finiteness, completeness andcoherence of the model, while solving (by means of abstractions) the problems of combinatorial explosion. Several other properties specifically defined for the AHBbus were then checked on the final model. These specific properties were formulated by a well-known company in the field of computer assisted design. We received various properties covering the AHB bus specification. Since our case study only concerns the AHB bus specification, only a subset of these properties has been used. Nevertheless, our study considers a greater number of properties than most other published works on formal AHB bus verification. The methodology we have proposed is mainly intended for the verification of shipboard systems. It therefore considers both a hardware part and a software part. Although she doesnot interested in the software part as such, our case study remains particularlyinteresting since few modelling experiments of material systems have been carried out using the coloured Petri nets [3]. On the other hand, the examples of modelling of software systems using this formalism are much more numerous [4]. Advanced High-Performance Bus (AHB) The Advanced Microcontroller Bus Architecture (AMBA) specification is a hardware bus specification made by ARM. In fact, this specification contains the definition of three bus versions: Advanced Peripheral Bus (APB), Advanced System Bus (ASB), and Advanced High Performance Bus (AHB). The APB bus is a communication bus with slow devices. It is optimized to consume little energy, but it transmits the information hands quickly than the other two buses. To implement the main bus of a system, an ASB or AHB bus is recommended. TheAHB bus is a more complicated bus, but more efficient than the others. You can bridge to the APB bus from the ASB or AHB bus [5]. The AHB bus presents a two-stage pipeline architecture dealing respectively with the address and data of the transfer. In addition, it offers several types of transfer including a burst [6]. As mentioned at the beginning of this paper, the AHB bus specification is a simplified version of the AHB bus specification (Figure 1) and this is the one considered for the case study [7]. [845]

Figure 1: Schematic representation of an AHB bus [8] This simplified version has the advantage of simplifying the design of the interface of the modules with thisbus. Moreover, we can add a standard wrapper to ensure the compatibility of this simplified interface with the complete specification if it is necessary. Note that the uncomplicated version of the bus presents to the hands a case of deadlock, with the type transferssplit, which is not present in the simplified version. The AHB bus has three main components: a master, slaves and a decoder. The masters and slaves are actually external modules represented by interfaces interacting with the bus. The master type interface makes transfer requests. The slave interface receives and responds to transfer requests. If a module must initiate and receive transfer requests, it must implement both types of interface. From here, we call a master, the master interface of a module, and a slave, the slave interface of a module. The signals used by the bus are presented in Table 1. Figure 2 shows the slave bus interface module. Table 1: AHB bus signals Signal Name Definition Source HCLK Clock of the bus Source of the clock HRESETn Reset Reset Controller HADDR [3 1: 0] Master Addressing bus HTRANS [1: 0] Transfer Type Master HWRITE Transfer Master Direction HSIZE [2: 0] Transfer Master Type HBURST [2:0] Burst Type Master HPROT [3: 0] Master Protection Control HWDATA [31: Master Write Bus 0] HSELx Decoder Slave Selector HRDATA[31:0] Slave Slave read bus HREADY End of Slave Transfer HRESP [1:0] Slave Transfer response [846]

Figure 2: Slave Bus Interface AHB[9] This simpler bus imposes several constraints on the AHB bus. It allows only one master. Slaves can only give a positive response (OKAY) OR an error response (ERROR) to a request from the master. Done, they cannot ask the master to retry the request (RETRY) or divide the request into two parts (SPLIT) as in the complete bus. Slaves can still give an OKAY response with the WAIT signal to have more time before responding to the request. As there is only one master, there is no referee who manages the access to the bus by the masters [10]. Proposed model is deployed on a top page called Top (Figure 3). It contains a Master page and a Slave page. The Top Page describes transitions made by the clock and decoder. The transitions made by the master and the slaves have been specified in the most restrictive way possible by means of sufficiently strong preconditions. This is important if one wants to avoid triggering these transitions in contexts at the specification would not command it explicitly [11]. This is necessary to allow the detection of the missing requirements. If the preconditions are not strong enough, the corresponding transitionsmay be triggered although the specification does not necessarily allow it, the missing requirements, generally detected by an absence of possible behaviour (a blocking) associated with a given state of the system, will then be masked by the execution of these transitions more lax that the specification does not imply it. Therefore, to have a good model, it is important to define preconditions that correspond precisely (and only) to the cases covered by the specification [12]. Figure 3: Root page of the case study [2] In this section, a simplified model of the AHB case study is presented. This model contains a master and a slave and allows only SINGLE type read transfers, without allowing the master to send IDLE, BUSY signals and without allowing the slave to send WAIT signals. In Figure 2, the slave regains the signal HSELx which comes from the decoder. block = 64; BOOL = bool; [847]

E = with e; ADDR = int with 0..(block-1); DATAT = with data l xxx; HOTONE16T = int with -1..15; RANST with IDLE I BUSY I NONSEQ I SEQ; BURSTT with SINGLE INCR WRAP4 WRAP8 WRAP16 INCR4 INCR8 incrl6; PROTT with DATAF; SIZET with s8,sl6,s32,s64,sl28,s256,s512,sl024; RESPT with OKAY I ERROR; CTRL product BOOL*SIZET*PROTT; TRAN product INT*ADDR*BOOL*SIZET*PROTT*BURSTT; Here is the declaration of all the necessary variables in this example: slav,n: INT; dat, dat2: DATAT; burst: BURSTT; prot: PROTT; addr, addr2: ADDR; sizel, size2: SIZET; write: BOOL; Then we create the structure and interface of themodules. The decoder module has been includeddirectly on the top page because it contains only onetransition. The slave modulecontains only onetransition and has its own page, because othertransitions are added in the versioncomplete model.finally, we add the behaviour in the pages of the modules Figure 4: Demonstration of the case study: top page [2] [848]

Figure 5: Demonstration of Case Study: Slave Page [2] II. AHB SLAVE BUS An AHB bus slave shown in Figure 6 responds to transfers initiated by bushmasters within the system. The slave uses a HSELx select signal from the decoder to determine when it should respond to a bus transfer. All other signals required for the transfer, such as the address and control information, will be generated by the bus master [13] Figure 6: AHB bus slave interface [2] Figure 7: Slave Interface [2] [849]

Figure 7 shows the state diagram of slave interface.it is a finite state machine implementation initial condition is reset state which is an idle state when no operation is there. When start signals arrived then this finite state machine (FSM) triggers, depends upon the hready and hwrite signal it decides in which further state it has to move. If hraedy is low then it will be start state only,if hready is one then depends upon hwrite it move to read or write state, if Hwrite is one then state moves to write otherwise it moves to read state. If hready will become low between these states then it moves to wait state, and it will remains in these sate until hready will become one.if any error occurs which is indicated by Hresp then state moves to error state. III. SIMULATION RESULTS Figure 8: Pin diagram of slave controller This block takes hresp 2 bit as input based on that it start its operation if hresp is 00 then it is normal operation. hclk is the system clk. hready is the signal which is high then only normal operation can be performed otherwise it will be in wait state. hresset are the initialization signal. hwrite is the control signal which is high then it is write operation if it is low then read operation.cmd is the output which is control signal and further interact with memory controller, ram and rom is the control signal which tell which is ram or rom operation. Figure 9: RTL view of slave [850]

The above figure shows that register transfer level view of slave controller interface, it shows that in detail how flip flops and gates are interconnected each other of slave controller interface. Figure 8 shows that pin diagram of slave controller interface but here only difference is it will show register transfer level. Figure 10. Simulation result of AHB slave interface The above result shows the handshaking between slave and slave interface where slave is directly interacting with master and its response is given by slave, Further the read write operation is forwarded to memory controller by slave interface. Device Utilization Summary: Selected Device: 3s1000fg320-5 Number of Slices: 402 out of 7680 5% Number of Slice Flip Flops:457 out of 15360 2% Number of 4 input LUTs:738 out of 15360 4% Number of IOs: 88 Number of bonded IOBs: 88 out of 221 39% IOB Flip Flops: 71 Number of GCLKs: 7 out of 8 87% Timing Summary: Speed Grade: -5 Minimum period: 5.834ns (Maximum Frequency: 171.416MHz) Minimum input arrival time before clock: 10.076ns Maximum output required time after clock: 7.660ns IV. CONCLUSION In this paper, AHB based slave interface is designed. The design has been implemented using VHDL for SOC solution. The design has taken care of balance between area and speed. Every implementation is in structural approach hence it s become a well-documented frame. There is separate implementation of slave and slave interface with inter faces the memory controller further. To avoid the handshaking complexity we have used FIFO for slave interface. Even it increases the latency but at the same time it makes design simple and bottleneck problem free. The design has been synthesized on XILINX 13.1 Spartan 3, and simulated in MODELSIM. V. REFERENCES [1] A. Limited, "AHB-Lite Overview," Copyright: ARM Limited. All rights reserved 2001. [2] A. Limited, "AMBA Specification (Rev 2.0)," Copyright: ARM Limited. All rights reserved 1999. [3] "Examples oflndustrial Use of CPN for Hardware," http://v.ww.daimi.au.dk/cpnets/intro/3.html [4] "Examples oflndustrial Use of CPN for Software,"http://www.daimi.au.dk/CPnets/intro/2.html [5] Shilpa Rao and Arati S. Phadke, Implementation of AMBA compliant Memory Controller on a FPGA, IJETEE, 2013. [6] Archana C. Sharma, Prof. Zoonubiya Ali, Construct High-Speed SDRAM Memory Controller Using Multiple FIFO's for AHB Memory Slave Interface, IJETAE, 2013. [851]

[7] KeesGoossens, Om Prakash Gangwal, Jens R over, and A. P. Niranjan. Interconnectand Memory Organization in SOCs for advanced Set-Top Boxesand TV-Evolution, Analysis, and Trends. In JariNurmi, HannuTenhunen, JouniIsoaho, and Axel Jantsch, editors, Interconnect-Centric Design for Advanced SoC and NoC, chapter 15, pages 399 423. Kluwer, April 2004. [8] Hu Yueli; Yang Ben, Building an AMBA AHB Compliant Memory Controller, IEEE, 2011. [9] Jayapraveen. D and T. GeethaPriya, Design of memory controller based on AMBA AHB protocol, Elixir International Journal, 2012. [10] Arun G, Vijaykumar T, Improving Memory Access time by Building an AMBA AHB compliant Memory Controller, IJARCET, 2012. [11] Ch.Vijayalakshmi, Mr B.Raghavaiah, Implementation of AMBA AHB Compliant Memory Controller with Peripherals, ICITEC, 2012. [12] VarshaVishwarkama, Abhishek choubey, Arvind Sahu, Implementation of AMBA AHB protocol for high capacity memory management using VHDL, IJCSE, 2011. [13] ShashisekharRamagundam, Sunil R. Das, Scott Morton, Satyendra N. Biswas, VoicuGroza, Mansour H. Assaf, and Emil M. Petriu, Design and Implementation of High-Performance Master/Slave Memory Controller with Microcontroller Bus Architecture, IEEE International Conference on Instrumentation and Measurement Technology (I2MTC) Proceedings, pp. 10 15, May 2014. [852]