Quartus II Prime Foundation

Similar documents
ALTERA FPGAs Architecture & Design

ALTERA FPGA Design Using Verilog

FPGA for Software Engineers

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software

Creating PCI Express Links in Intel FPGAs

Introduction to the Qsys System Integration Tool

Advanced ALTERA FPGA Design

VHDL Essentials Simulation & Synthesis

VHDL for Synthesis. Course Description. Course Duration. Goals

Compiler User Guide. Intel Quartus Prime Pro Edition. Updated for Intel Quartus Prime Design Suite: Subscribe Send Feedback

Quartus II Incremental Compilation for Hierarchical

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

AN 839: Design Block Reuse Tutorial

ISE Design Suite Software Manuals and Help

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Designing with Nios II Processor for Hardware Engineers

Verilog for High Performance

Intel Quartus Prime Pro Edition User Guide

Terasic DE0 Field Programmable Gate Array (FPGA) Development Board

13. LogicLock Design Methodology

Block-Based Design User Guide

Building Interfaces with Arria 10 High-Speed Transceivers

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Designing with ALTERA SoC Hardware

University of California, Davis Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS Spring Quarter 2018

Reduce FPGA Power With Automatic Optimization & Power-Efficient Design. Vaughn Betz & Sanjay Rajput

Introduction to VHDL Design on Quartus II and DE2 Board

System Debugging Tools Overview

Building Gigabit Interfaces in Altera Transceiver Devices

AN 307: Intel FPGA Design Flow for Xilinx* Users

EE 231 Fall EE 231 Lab 2

Chapter 2 Getting Hands on Altera Quartus II Software

Intel Quartus Prime Pro Edition User Guide

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

LeonardoSpectrum & Quartus II Design Methodology

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide

Verilog Essentials Simulation & Synthesis

18. Synopsys Formality Support

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow

DOWNLOADING DESIGNS TO THE ALTERA DE10-LITE FPGA

Partial Reconfiguration User Guide

Altera Technical Training Quartus II Software Design

June 2003, ver. 1.2 Application Note 198

NIOS II Processor Booting Methods In MAX 10 Devices

Using the LogicLock Methodology in the

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

2. Design Planning with the Quartus II Software

Intel Quartus Prime Standard Edition User Guide

Intel Quartus Prime Pro Edition User Guide

AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Development Board

Using Verplex Conformal LEC for Formal Verification of Design Functionality

Experiment 3. Digital Circuit Prototyping Using FPGAs

ECE 353 Lab 3. MIDI Note Number Display. Lab Info. Electrical and Computer Engineering

Unleashing the Power of the Command-Line Interface. Jeremy W. Webb UC Davis VLSI Computation Laboratory

Tutorial for Altera DE1 and Quartus II

Evaluation of the RTL Synthesis Tools for FPGA/PLD Design. M.Matveev. Rice University. August 10, 2001

Using the LogicLock Methodology in the

DE2 Board & Quartus II Software

Park Sung Chul. AE MentorGraphics Korea

Intel Quartus Prime Standard Edition User Guide

LHCb TELL1 VHDL firmware development guide

Hardware Synthesis. References

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

University of Florida EEL 3701 Dr. Eric M. Schwartz Madison Emas, TA Department of Electrical & Computer Engineering Revision 1 5-Jun-17

Managing Quartus II Projects

EE 231 Fall Lab 1: Introduction to Verilog HDL and Altera IDE

Excellent for XIP applications"

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

Section IV. In-System Design Debugging

AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Development Board

Vivado Design Suite User Guide

Timing Analyzer Quick-Start Tutorial

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide

Intel Quartus Prime Pro Edition Handbook Volume 3

FPGA Based Digital Design Using Verilog HDL

קורס VHDL for High Performance. VHDL

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide

Vivado Design Suite User Guide

HyperBus Memory Controller (HBMC) Tutorial

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

SystemVerilog Essentials Simulation & Synthesis

Intel Quartus Prime Pro Edition

Design Once with Design Compiler FPGA

Analyzing Designs with Quartus II Netlist Viewers

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide

FPGA Block Modular Design Tutorial

Board Update Portal based on Nios II Processor with EPCQ (Arria 10 GX FPGA Development Kit)

SFWR ENG 2DA4 Lab 1. Announcements: Marking Scheme: Lab Safety Manual:

Quick Tutorial for Quartus II & ModelSim Altera

Early Models in Silicon with SystemC synthesis

Interlaken IP Core (2nd Generation) Design Example User Guide

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

FPGA Introductory Tutorial: Part 1

Intel Quartus Prime Standard Edition Handbook Volume 3

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Field Programmable Gate Array (FPGA) Devices

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design

Transcription:

Quartus II Prime Foundation Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with Quartus Prime design software. The course combines 50% theory with 50% practical work in every meeting. The practical labs cover all the theory. The course starts with an overview of the Quartus Prime design software features, Quartus Prime projects types and management, design methodology, and using IP cores from the IP catalog. Qsys system integration tool, state machine editor, memory editor, Altera SD for OpenCL, and DSP Builder are also introduced in high level. The course continues with Quartus Prime compilation flow, incremental compilation concept, working with messages, viewing compilation reports, RTL and technology views, state machine viewer, and how to use the chip planner tool. The course also touches upon synthesis and Place & Route settings and assignment editor, optimizations, design assistant and various advisors. The course ends with I/O planning with the pin planner, with the BluePrint Platform Designer, and programming and configuration of FPGA/CPLD. Course Duration 2 days

Goals 1. Create a new Quartus Prime project 2. Choose supported design entry methods 3. Compile a design into a programmable logic device 4. Locate resulting compilation information 5. Create design constraints (assignments & settings) 6. Manage I/O assignments 7. Prepare for programming/configuring a programmable logic device Intended Users Hardware engineers who program with VHDL/Verilog languages and would like to be specialized with ALTERA FPGAs and Quartus II software Previous Knowledge VHDL/Verilog beginners and advanced users who are new to ALTERA FPGAs. Course Material 1. Synthesizer and Place & Route: Quartus Prime 2. Demonstration on ALTERA Evaluation board 3. Course book (including labs)

Table of Contents Day #1 Introduction to Programmable Logic Devices o CPLD architecture and design consideration o FPGA architecture (LUT, FF, PLL, DSP Block, Embedded RAM, Embedded Processor, Programming) Introduction to ALTERA FPGAs o CPLD : MAX V, MAX II o FPGA : Max 10 Cyclone, ARIA, STRATIX, SoC ARM based o FPGA: Gen 10 devices and SoC Introduction to Quartus Prime Software o Lite Edition, Standard Edition and Pro Edition o Quartus Prime design software features o Quartus Prime default operating environment o Tips and tricks advisor o Built in help system o Custom task flow o TCL and command line help Quartus Prime Projects o Project creation and project types o Altera design store o EDA tool settings o Quartus Prime project files and folders o Constraint files & assignment priority o Project management (archive, restore, copy, revisions, clean) o IP management tools Lab #1: Create a Project in Quartus Prime

Design Methodology o Typical PLD design flow o Design entry methods (schematic, HDL, EDIF) o Altera IP cores o IP catalog o System design entry with Qsys o State machine editor o Memory editor o DSP Builder standard/advanced blocksets o Open Computing Language (OpenCL) o Synthesis and P&R o Programmer Lab #2: Use IPs from the IP Catalog and the Memory Editor RTL Coding Guidelines for QUARTUS II Integrated Synthesis o Synthesis directives and attributes o Fixed output registers o RAM inference o Latch inference o Combinational loops o Finite state machine coding styles Lab #3: Use Synthesis Attributes to Affect Quartus Prime Synthesis Results

Day #2 Quartus Prime Compilation o Quartus Prime full compilation flow o Processing options o Notification center o Compilation design flows o Incremental compilation concept o Reducing compile times o Message windows o Viewing compilation results o Dynamic synthesis report o Netlist viewers o State machine viewer o Chip planner o Resource property editor Lab #4: Compile a Project in Quartus Prime and Locate Information in the Compilation Report Settings & Assignments o Synthesis and fitting control o Device settings o Version compatible database o Consolidated compiler optimization settings (synthesis & fitter) o Assignment editor features o Assignment groups o Updating.qsf file o Assignment Tcl command o Export assignment o Design assistant o Advisors Lab #5: Create a New Revision and Make Design Constraints using the Assignment Editor

I/O Planning o I/O planning need o Creating I/O related assignments o Pin planner tool o Assigning pin locations using pin planner o Pin planner tasks & report windows o Pin migration view o Reserved and unused I/O pins o Back annotation o Verifying I/O assignments o I/O rules checked o I/O assignment analysis output o Validating I/O pin-put o I/O planning with the BluePrint Platform Designer tool o Import/export via CSV o.qsf editing and scripting o Global pin settings o Using synthesis attributes in HDL Lab #6: Assign I/O Pins and Perform I/O Assignment Analysis, Back Annotate Pin Assignments, and Use Pin Migration View Programming & Configuration o Programming files (sof, pof, jam/jbc, jic) o Programming file conversion o Quartus Prime programmer